KR100750081B1 - 도핑되지 않은 이산화규소와 질화규소 위의 도핑된이산화규소를 선택적으로 에칭시키는 방법 - Google Patents

도핑되지 않은 이산화규소와 질화규소 위의 도핑된이산화규소를 선택적으로 에칭시키는 방법 Download PDF

Info

Publication number
KR100750081B1
KR100750081B1 KR1020037000043A KR20037000043A KR100750081B1 KR 100750081 B1 KR100750081 B1 KR 100750081B1 KR 1020037000043 A KR1020037000043 A KR 1020037000043A KR 20037000043 A KR20037000043 A KR 20037000043A KR 100750081 B1 KR100750081 B1 KR 100750081B1
Authority
KR
South Korea
Prior art keywords
silicon dioxide
etchant
etching
doped silicon
silicon nitride
Prior art date
Application number
KR1020037000043A
Other languages
English (en)
Other versions
KR20030038651A (ko
Inventor
코케이-유
리리
블라록가이티.
Original Assignee
마이크론 테크놀로지, 인크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지, 인크 filed Critical 마이크론 테크놀로지, 인크
Publication of KR20030038651A publication Critical patent/KR20030038651A/ko
Application granted granted Critical
Publication of KR100750081B1 publication Critical patent/KR100750081B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Weting (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 화학식 C2HxFy의 성분을 포함하는 에칭제(여기서, x는 2 내지 5의 정수이고, y는 1 내지 4의 정수이며, x + y는 6이다)에 관한 것이다. 본 발명의 에칭제는 도핑되지 않은 이산화규소 및 질화규소 둘다 위의 도핑된 이산화규소를 선택적으로 에칭한다. 따라서, 도핑되지 않은 이산화규소 및 질화규소는 C2HxFy 함유 에칭제를 사용하는 건식 에칭 방법에서 에칭 정지층으로서 사용될 수 있다. C2HxFy는 기본 에칭제로서 또는 또 다른 에칭제 또는 에칭제 혼합물의 첨가제로서 사용될 수 있다. 본 발명의 에칭제에 의해 또는 본 발명의 방법에 따라 패턴화시킨 구조를 포함하는 반도체 장치(10)도 기재되어 있다. 구체적으로는, 본 발명은 사실상 수직인 측벽(34)을 갖는 도핑된 이산화규소 구조물(24) 및, 측벽(34)에 인접하여 노출된 인접한 도핑되지 않은 이산화규소 또는 질화규소 구조물(36)을 포함하는 반도체 장치(10)를 포함한다.
반도체 장치, 이산화규소, 질화규소, 마스크 재료, 패턴화, 에칭제, 에칭 정지층, 광석판인쇄술, 반도체 장치.

Description

도핑되지 않은 이산화규소와 질화규소 위의 도핑된 이산화규소를 선택적으로 에칭시키는 방법{Process for selectively etching doped silicon dioxide over undoped silicon dioxide and silicon nitride}
본 발명은 질화규소 또는 도핑되지 않은 이산화규소 위에 존재하는 도핑된 이산화규소를 선택적으로 에칭하는 방법에 관한 것이다. 구체적으로는, 본 발명의 방법은 화학식 C2HxFy의 에탄 가스(여기서, x는 2 내지 5의 정수이고, y는 1 내지 4의 정수이며, x + y는 6이다)의 사용을 포함하는 에칭제 혼합물을 포함한다. 또한, 본 발명은 화학식 C2HxFy의 성분(여기서, x는 2 내지 5의 정수이고, y는 1 내지 4의 정수이며, x + y는 6이다)을 포함하는 에칭제 혼합물에 관한 것이다.
반도체 장치 위에 다층 구조물을 형성하는 것은, 이로써 한정되는 것은 아니지만, 전형적으로, 포스포실리케이트 유리(PSG), 보로실리케이트 유리(BSG) 및 보로포스포실리케이트 유리(BPSG)의 층을 포함하는 도핑된 이산화규소 층을 패턴화(patterning)하는 것을 포함한다. 이러한 재료들은 통상적으로 반도체 장치 위의 패시베이션 층(passivation layer)으로서 사용된다. 에칭 기술에는 통상적으로 패시베이션 층을 통한 접촉 개구(contact opening)의 형성을 포함하는, 다수 형태의 반도체 장치 구조를 패턴화시키는 단계가 사용된다. 에칭 정지층은, 패시베이션 층 또는 에칭 기판의 목적하는 패턴이 일단 수행되고 나면, 에칭 공정을 종결시키기 위해, 반도체 기판내에 형성되는 전도성 도핑된 접촉 영역 위와 같은 하층 구조물 위에 형성되어 있다. 통상적으로 질화규소(Si3N4)는 이산화규소의 패턴화중 에칭 정지층으로서 사용된다.
에칭하기 전, 도핑된 이산화규소층과 같은 패시베이션 층으로부터 에칭 기술에 의해 구조를 형성하기 위해서는, 통상적으로 포토레지스트와 같은 보호층을 침착시키고, 현상하여 형판 또는 보호 마스크로서 작용하도록 한다. 이러한 보호 마스크를 통해 습식 에칭 또는 건식 에칭 기술을 사용하여, 도핑된 이산화규소 패시베이션 층으로부터 반도체 장치 구조를 형성할 수 있다.
예시적인 습식 에칭 방법이 데이비드 에이. 케티(David A. Cathey) 등에게 허여된 미국 특허 제5,300,463호(이하 '463 특허라고 함)에 기재되어 있다. '463 특허의 습식 에칭 방법은 에칭제로서 불화수소(HF)를 사용하고, 도핑되지 않은 이산화규소보다는 도핑된 이산화규소에 선택적이다. 이러한 기술의 선택성 또는 특이성에도 불구하고, 당해 방법은 통상적으로 습식 에칭 방법과 관련된 여러가지 단점을 갖는다는 측면에서 다소 바람직하지 않다. 구체적으로는, '463 특허의 기술은 등방성 에칭이다. 따라서, 이에 의에 형성되는 구조는 보호 마스크를 통해 노출시킨 에칭 기판의 목표 영역의 치수와는 상이한 치수를 가질 수 있다. 또한, 당해 기술 분야의 숙련가에게 공지되어 있는 바와 같이, 습식 에칭 기술은 통상적으로 등방성이므로, 에칭되는 필름의 두께가 목적하는 최소 패턴 치수와 대략 동일한 경우, 등방성 에칭에 의해 통상적으로 야기되는 언더컷팅(undercutting)이 허용한도를 초과한다. 유사하게, 반도체 장치의 활성 표면 위에 형성되는 구조물의 치수가 지속적으로 감소하는 경우, 이러한 미세 구조의 배열을 유지시키고, 이러한 구조의 전기적 특성을 최적화시키기 위해서는, 에칭은 반드시 매우 정확해야 하며, 매우 정교한 오차 범위내에서 유지되어야만 한다. 반도체 장치 위의 구조물을 형성하기 위해 사용되는 통상적인 다수의 습식 에칭 방법에서는 종종 이러한 정교함이 수득되지 않는다. 따라서, 정교함이 부족한 등방성 특성의 통상적인 습식 에칭 방법은 현기술 수준의 반도체 장치 위에 구조물이 형성되고 신뢰성이 높은 보호 마스크에 의해 형성된 형상을 재현하는 에칭 방법의 포괄적인 목표와 일치하지 않게 된다.
반대로, 이로써 한정되는 것은 아니지만, 글로우 방전 스퍼터링(glow discharge sputtering), 이온 밀링(ion milling), 반응성 이온 에칭(RIE), 반응성 이온 빔 에칭(RIBE) 및 고밀도 플라즈마 에칭을 포함하는 다수의 건식 에칭 기술은 비등방 방식으로 에칭할 수 있다. 즉, 에칭 기판의 목표 영역은 기본적으로는 에칭된 기판의 노출된 기판 또는 활성 기판에 대해 사실상 수직 방향으로 에칭된다. 따라서, 이러한 건식 에칭 기술은 에칭 기판으로부터 사실상 수직인 측벽 구조물을 형성할 수 있다. 따라서, 이러한 건식 에칭 기술은 보호 마스크의 형태를 정확히 재현할 수 있다. 반도체 장치 위의 구조물의 치수가 지속적으로 감소하기 때문에, 반도체 장치의 활성 표면 위에 구조를 형성하기 위해서는 종종 건식 에칭이 바람직하다.
그러나, 이산화규소층을 건식 에칭하기 위해 플라즈마를 사용하는 많은 기술은 필적하는 습식 에칭 기술의 특이성이 부족하다. CF4 및 CHF3와 같은 탄화불소가 이산화규소층의 플라즈마 건식 에칭에 통상적으로 사용된다. 통상적으로, 이러한 탄화불소와 함께 사용되는 저주파(RF) 플라즈마는 불화물 이온 및 불소 자유 라디칼과 같은 활성화된 종(species)을 발생시키고, 이산화규소를 공격하여 동일하게 에칭시킨다. 이러한 활성화된 불소 라디칼 및 불화물 이온은 규소 및 질화규소와 같은 기타 재료를 공격할 수도 있다. 따라서, 플라즈마를 사용하는 다수의 건식 에칭 기술은, 목적하는 층을 에칭할 뿐만 아니라, 반도체 장치의 에칭 정지층 및 바람직하지 않게도 노출되거나 또는 에칭 공정중에 노출된 기타 구조물도 에칭한다.
건식 에칭 기술에 사용되는 에칭 정지 재료는 통상적으로 관련된 에칭 기판 아래에 배치되며, 관련된 에칭 기판보다 저속으로 에칭된다. 건식 에칭제가 외부의 에칭 기판보다 저속으로 에칭 정지층을 에칭하므로, 에칭 정지층 그 자체는 소비되어도, 에칭 정지층 아래에 있는 구조물을 건식 에칭 공정으로부터 보호하는 역할을 한다.
다수의 반도체 장치의 게이트(gate) 구조물은 질화규소(Si3N4) 캡(cap)을 포함하므로, 패시베이션 층을 통해 접촉부를 에칭하기 위해서는 이산화규소(SiO2)와 질화규소 사이의 선택성이 요구된다. 그러나, 이른바 이산화규소 선택성 플라즈마 건식 에칭 기술로 불리우는 다수의 기술은 약 3:1의 SiO2 대 Si3N4 선택성 비, 또는 SiO2의 에칭 속도 대 Si3N4의 에칭 속도 비를 갖는다.
1994년 2월 15일자로 가이 블라록 등(Guy Blalock et al.)에게 허여된 미국 특허 제5,286,344호(이하 '344 특허라 함)에는 이산화규소 건식 에칭 기술에 있어서 질화규소보다 이산화규소에 대해 매우 우수한 선택성을 갖는 다수의 기타 통상적인 건식 에칭 방법이 기재되어 있다. 구체적으로는, CF4 또는 CHF3와 같은 기본 에칭제에 첨가제로서 사용되는 CH2F2는 질화규소에 대한 이산화규소의 선택성을 향상시킨 건식 에칭제 혼합물을 제공한다. 이산화규소 및 질화규소 둘 다를 에칭하는데 필요한 높은 에너지 이온은 각각의 산화물 또는 질화물 표면에서 화학 결합을 해리시킴으로써 작용한다. 그러나, 질화규소를 에칭시키는데 필요한 해리 에너지는 이산화규소를 에칭시키는데 필요한 해리 에너지보다 작다. 건식 에칭제에 CH2F2를 사용함으로써 질화규소 표면 위에 중합체가 침착되고, CH2F2와 같은 첨가제가 부족한 통상적인 건식 에칭제에 비하여, 이산화규소의 해리 특성과 비교하여 질화규소의 해리 특성을 대폭 상쇄시킨다. 따라서, '344 특허의 에칭제는 30:1 이상의 선택성으로 질화규소의 에칭 정지층 위의 이산화규소를 에칭시킨다. 그러나, 기타 통상적인 이산화규소 건식 에칭 기술에서와 같이, '344 특허의 유용한 에칭 정지층으로서 기재되어 있는 유일한 재료는 질화규소이다. 따라서, '344 특허에 기재되어 있는 건식 에칭 방법의 이용은 이산화규소로 캡핑된 게이트 위의 접촉부와 같은 질화규소 유전체 층을 포함하는 반도체 장치 구조를 형성하는 것으로 제한된다. 또한, 목적하는 선택성 수준을 성취하기 위해서는 '344 특허에 기재되어 있는 각각의 건식 에칭제 성분의 상대적인 유속이 좁은 범위로 한정된다. 유사하게, 다수의 기타 통상적인 건식 에칭 방법에서도 매우 특정한 건식 에칭제 성분의 사용이 요구된다. 따라서, 다수의 통상적인 건식 에칭 시스템의 공정의 허용폭이 좁아진다.
질화규소는 에칭 정지 재료로서 널리 사용되고는 있지만, 질화규소 에칭 정지층의 사용은 저압 화학증착법(LPCVD)에 의해 반도체 장치의 활성 표면 위로 질화규소를 침착시키면 반도체 장치의 뒷 표면 위에 두꺼운 질화규소 층이 형성될 수 있다는 관점에서 다소 바람직하지 않다. 이러한 두꺼운 질화물 층은 그 다음에 반드시 제거되어야만 하는데, 이로 인해 제조 시간과 경비가 증가할 뿐만 아니라, 반도체 장치의 제조시에 반도체 장치가 손상될 가능성이 높아진다.
또한, 플라즈마를 사용하는 통상적인 건식 에칭 방법중에 생성되는 불소 라디칼 및 불화물 이온은 도핑된 이산화규소 및 도핑되지 않은 이산화규소 둘 다를 비선택적으로 공격하거나 에칭시킨다. 또 다른 방식으로 설명하자면, 이러한 이산화규소 건식 에칭 기술은 도핑된 이산화규소와 도핑되지 않은 이산화규소 사이를 구별할 수 없다. 따라서, 통상적인 건식 에칭 기술을 사용하는 경우, 현기술 수준의 반도체 장치에서 질화규소를 대체하여 사용할 수 있는 것이 한정된다.
따라서, 본 발명자들은, 질화규소 및 도핑되지 않은 이산화규소 둘 다가 에칭 정지층으로서 작용하거나, 또는 에칭 정지층의 사용이 불필요한, 도핑된 이산화규소 선택성의 건식 에칭 방법의 필요성과 동시에, 도핑되지 않은 이산화규소 및 질화규소 둘 다에 대해서 이산화규소에 보다 특이적인 에칭제의 필요성을 인식하였다. 또한, 광범위한 도핑된 이산화규소 에칭 적용에 이러한 에칭제 혼합물의 사용을 촉진시키기 위해서, 이러한 에칭제 혼합물의 각각의 성분의 상대적인 농도를 변화시킬 수 있는 에칭제 혼합물도 필요하다.
본 발명은, 상술한 필요성에 부응하며, 통상적인 건식 에칭 방법의 명백한 단점들을 극복하는 건식 에칭 방법 및 에칭제를 포함한다.
본 발명의 에칭제는 C2HxFy(여기서, x는 2 내지 5의 정수이고, y는 1 내지 4의 정수이며, x + y는 6이다)를 포함한다. 구체적으로는, 본 발명의 C2HxFy 성분은 C2H2F4, C2H3F3, C2H4F2 및 C2H5F로 이루어진 그룹으로부터 선택될 수 있다. C2HxFy 성분은 기본 에칭제 또는 에칭제 혼합물의 성분으로서 사용될 수 있다. 기본 에칭제로서 사용하는 경우, C2HxFy는 도핑된 이산화규소를 다수의 통상적인 이산화규소 건식 에칭 기술의 에칭 속도에 비하여 저속으로 에칭시키지만, 도핑되지 않은 이산화규소보다 도핑된 이산화규소를 선택적으로 에칭시킨다.
기타 이산화규소 에칭제의 첨가제로서 사용되는 경우, C2HxFy는 에칭제 혼합물에 도핑되지 않은 이산화규소보다 도핑된 이산화규소에 대한 선택성을 부여하며, 다수의 통상적인 도핑된 이산화규소 건식 에칭 기술에 필적할 만한 속도로 도핑된 이산화규소를 에칭할 수 있게 한다. 에칭제 혼합물에 사용된 C2HxFy의 양은 사용된 C2HxFy의 특정한 종류, 도핑된 이산화규소 대 도핑되지 않은 이산화규소의 선택성의 필요한 수준(즉, 선택성 비), 이산화규소 대 질화규소 선택성의 목적하는 수준, 바람직한 에칭 속도 및 기타 인자에 따라 변할 수 있다.
본 발명의 건식 에칭 방법에는 본 발명의 에칭제(즉, C2HxFy를 포함하는 에칭제)가 사용되며, 도핑되지 않은 이산화규소 및 질화규소 둘 위의 도핑된 이산화규소에 선택적이다. 따라서, 본 발명의 건식 에칭 방법은 도핑된 이산화규소 층을 도핑되지 않은 이산화규소 또는 질화규소의 하부 에칭 정지층까지 이방성 에칭하는데 효과적으로 사용될 수 있다.
또한, 본 발명의 건식 에칭 방법에서 본 발명의 에칭제를 사용하여 제조된 구조도 본 발명의 범주에 포함된다.
본 발명의 기타 특징 및 이점은 하기에 이어지는 설명, 첨부한 도면 및 청구항을 고찰함으로써 당해 기술분야의 숙련가들에게 명백해질 것이다.
도 1 내지 도 4는 본 발명의 방법의 양태 및 이에 의해 형성될 수 있는 예시적인 구조를 나타내는 개략 단면도를 나타낸 것이며,
도 5 내지 도 8은 또 다른 반도체 장치 구조에 있어서의 본 발명의 방법의 사용 및 생성된 구조의 예를 나타내는 개략 단면도를 나타낸 것이다.
본 발명은 도핑되지 않은 이산화규소와 질화규소 모두 위의 도핑된 이산화규소에 선택적인 에칭제를 포함한다. 당해 기술 분야의 숙련가들은 "도핑된" 이산화규소는 통상적으로 붕소 또는 인과 같은 도핑제를 포함하는 반면, 도핑되지 않은 이산화규소는 사실상 도핑제 및 다른 불순물을 함유하지 않는다는 사실을 알고 있을 것이다. 도핑된 이산화규소의 예시적인 형태는, 이로써 한정되는 것은 아니지만, 보로실리케이트 유리(BSG), 포스포실리케이트 유리(PSG) 및 보로포스포실리케이트 유리(BPSG)가 있다. 또한, 본 발명은 본 발명의 에칭제를 이용하는 건식 에칭 방법을 포함한다.
본 발명의 도핑된 이산화규소 에칭제는, 본원에서 간단히 에칭제로도 지칭되지만, 간단히 화학식 C2HxFy 성분 또는 C2HxFy(여기서, x는 2 내지 5의 정수이고, y는 1 내지 4의 정수이며, x + y는 6이다)로 지칭되기도 하는, 화학식 C2HxFy의 에탄 성분을 포함한다. 구체적으로는, 본 발명의 C2HxFy 성분은 바람직하게는 C2H2F4, C2H3F3, C2H4F2 및 C2H5F로 이루어진 그룹으로부터 선택된다. 또한, 도핑된 이산화규소 에칭제는 다양한 형태의 C2HxFy의 혼합물을 포함할 수도 있다.
도핑된 이산화규소 에칭제의 C2HxFy 성분은 RF로 활성화됨에 따라, 수소 이온 및 활성화된 수소 종이 불소 함유 이온 및 활성화된 불소 함유 종(예: F* 및 CF*)와 반응하여, 도핑되지 않은 이산화규소 또는 질화규소의 에칭 정지층의 어떠한 후속적인 에칭도 발생하기 전, 웨이퍼의 표면으로부터 활성화된 불소 함유 종을 제거한다. C2HxFy 첨가제의 수소 함유량은 이를 포함하는 에칭제에 도핑되지 않은 이산화규소 위의 도핑된 이산화규소에 대한 선택성을 부여한다.
본 발명의 도핑된 이산화규소 에칭제의 제1 양태에서, C2HxFy는 기본 에칭제이다. C2HxFy가 기본 에칭제로서 사용되는 경우, C2HxFy는 도핑되지 않은 이산화규소 위의 도핑된 이산화규소에 선택적이다. 또 다른 방식으로 설명하면, C2HxFy는 도핑되지 않은 이산화규소를 에칭하는 속도보다 빠른 속도로 도핑된 이산화규소를 에칭한다. 기본 에칭제로서 사용되는 경우, C2HxFy는 다수의 통상적인 이산화규소 건식 에칭제의 에칭 속도에 비해 상대적으로 느린 속도로 도핑된 이산화규소를 에칭한다. 따라서, 에칭 속도를 증가시키는 첨가제를 C2HxFy와 함께 사용할 수 있다. 이러한 첨가제는, 이로써 한정되는 것은 아니지만, CF4, CHF3 및, 통상적인 도핑된 이산화규소 건식 에칭 기술에서 기본 에칭제로서 사용되는 기타 할로겐화 탄소 재료를 포함한다.
유사하게, 질화규소 위의 이산화규소에 대한 에칭제의 선택성을 증가시키는(즉, 질화규소의 에칭 속도를 감소시키는) 첨가제를 기본 에칭제로서 C2HxFy를 포함하는 에칭제의 첨가제로서 사용할 수 있다. 본원에 그 전체가 참조 문헌으로 인용되는 '344 특허의 명세서에는 이러한 방식으로 C2HxFy의 선택성을 향상시킬 수 있는 몇몇 예시적인 첨가제가 기재되어 있다. '344 특허의 첨가제는, 수소 원자의 수가 불소 원자의 수와 같거나 그 이상인, CH2F2 및 CH3F와 같은 탄화불소이다.
또한, 이로써 한정되는 것은 아니지만, 이러한 에칭제의 도핑되지 않은 이산화규소 위의 도핑된 이산화규소에 대한 선택성 및 도핑된 이산화규소 위의 특정 형태의 도핑된 이산화규소에 대한 선택성을 포함하는 이러한 에칭제의 기타 특성을 변경하기 위해서는 기본 에칭제로서 C2HxFy를 포함하는 이산화규소 에칭제와 함께 기타 첨가제를 사용할 수도 있다.
본 발명의 도핑된 이산화규소 에칭제의 또 다른 양태에서, C2HxFy는 하나 이상의 기본 에칭제의 첨가제로서 사용된다. C2HxFy는 CF4, CHF3, 또는 질화규소를 에칭시키는 속도보다 빠른 속도로 이산화규소를 에칭시키는(즉, 질화규소 위의 이산화규소에 선택적이다) 기타 탄화불소와 같은 탄화불소 기본 에칭제를 포함하는 에칭제의 첨가제로서 사용할 수 있다. '344 특허에 따르면, C2HxFy를 첨가제로서 이용할 수 있는 기본 에칭제로서는 CF4 및 CHF3를 예로 들 수 있다.
CF4 또는 CHF3와 같은 이산화규소 에칭제에 첨가제로서 사용되는 경우, C2HxFy는 이산화규소 에칭제에 도핑되지 않은 이산화규소 위의 도핑된 이산화규소에 선택성을 부여하며, 또한 도핑된 이산화규소를 사실상 정상 속도로 에칭할 수 있다. 에칭 혼합물에 사용되는 C2HxFy의 양은 기타 에칭제와 임의의 캐리어 기체의 양에 따라 에칭제 혼합물의 특성을 조정하고, 목적하는 에칭 결과를 달성하도록 변화시킬 수 있다. 혼합물 중의 C2HxFy의 농도를 변경시킴으로써 변화시킬 수 있는 에칭제 혼합물의 다양한 특성으로는, 이로써 한정되는 것은 아니지만, 도핑되지 않은 이산화규소 위의 도핑된 이산화규소에 대한 선택성, 질화규소 위의 이산화규소에 대한 선택성 및 도핑된 이산화규소의 에칭 속도가 있다.
도핑되지 않은 이산화규소 및 질화규소 위의 도핑된 이산화규소에 대한 선택성을 갖는 예시적인 건식 에칭제는 약 40%의 첨가제, C2H2F4(즉, C2HxFy 성분), 약 30%의 기본 에칭제, CHF3, 및 약 30%의 CH2F2를 포함하는데, 첨가제는 질화규소 위의 이산화규소에 대한 기본 에칭제의 선택성을 향상시키며, 각각의 비율은 각 기체의 에칭물(etcher)로의 상대 유속에 따른다.
또는, C2HxFy 성분의 양은 큰 범위로 변할 수 있다. 화학식 C2HxFy의 첨가제(여기서, x는 2 내지 5의 정수이고, y는 1 내지 4의 정수이며, x + y는 6이다)의 임의의 양을 포함하는 에칭제는 본 발명의 범주내에 포함된다. 예시적인 에칭제로는 5%, 10%, 20%, 65% 또는 90%의 C2HxFy 첨가제 또는 C2HxFy 첨가제의 임의의 혼합물이다.
이와 유사하게, 기타 성분을 포함하는 이산화규소 건식 에칭제 혼합물에 첨가제로서 C2HxFy를 사용하는 것도 예상된다. 예를 들면, 기본 에칭제로서 CF4 또는 CHF3, 또는 이들 둘 다를 포함하며, 아르곤 질소와 같은 캐리어 기체를 포함하는 에칭제와 함께 C2HxFy를 사용할 수 있을 것이다. 또한, C2HxFy 함유 건식 에칭제는 에칭 속도, 선택성의 수준 및 선택성의 형태와 같은 건식 에칭제의 다양한 특성을 변화시키는 하나 이상의 기타 첨가제를 포함할 수 있다. 예를 들면, '344 특허에 기재된 바와 같이, 첨가제로서 CH2F2를 사용하면, 질화규소 위의 이산화규소에 대한 건식 에칭제의 선택성을 향상시킨다. 또한, 화학식 C2HxFy의 첨가제의 혼합물을 도핑된 이산화규소 건식 에칭제 중의 성분으로서 사용할 수도 있다.
본 발명의 건식 에칭 방법의 바람직한 양태에는 본 발명의 에칭제(즉, C2HxFy를 포함하는 에칭제)가 사용되며, 도핑되지 않은 이산화규소 및 질화규소 둘 다 위의 도핑된 이산화규소에 대해 선택적이다. 건식 에칭 방법에는 에칭 정지층을 형성하는 도핑되지 않은 이산화규소 또는 질화규소의 구조 또는 층까지 도핑된 이산화규소 층을 하부 방향으로 에칭하기 위해 에칭제를 사용함을 포함한다.
도 1 내지 도 4는 본 발명의 에칭제를 사용하는 본 발명의 에칭방법을 예시한 것이다. 도 1은 반도체 장치 구조인 예시적인 다층 구조물(10)을 도시한 것이다. 당해 구조의 적어도 일부분은 본 발명의 방법에 따라 제조될 수 있다. 다층 구조물(10)은 반도체 기판(12)[예: 실리콘 웨이퍼, 절연체 위의 실리콘(SOI), 사파이어 위의 실리콘(SOS), 유리 위의 실리콘(SOG) 등], 당해 반도체 기판의 활성 표면(13) 위에 배치된 필드(field) 산화물 층(14) 및 활성 장치 영역(16)을 포함한다. 본원에서 접촉부로도 지칭되는, 전도성 도핑된 반도체 재료(예: 규소)를 포함하는 확산 영역(17)은 활성 장치 영역(16)내에 형성되며, 활성 표면(13)과 연속적이다. 금속 또는 폴리실리콘과 같은 전도성 재료로부터 형성된 전도성 라인(conductive line)(18)은 활성 장치 영역(16)내의 활성 표면(13) 위에 침착되며, 게이트 산화물(19)에 의해 활성 표면(13)과 전기적으로 분리되어 있으며, 측방향의 인접한 확산 영역(17)에 위치한다. 전도성 라인(18)의 각각의 측면 위에 위치하는 측벽 스페이서(spacer)(20) 및, 전도성 라인(18) 위에 침착되어 있는 중간 구조층 또는 절연 캡(insulative cap)(22)은 전도성 라인(18)을 전기적으로 차단한다. 측벽 스페이서(20) 및 캡(22)는 질화규소 또는 도핑되지 않은 이산화규소로부터 형성될 수 있다. 또한, 다층 구조(10)는 상기 성분의 각각 위에 침착된 패시베이션 층(24)을 포함한다. 패시베이션 층(24)은 도핑된 이산화규소(예: BPSG, PSG 또는 BSG)로부터 형성된다.
도 2는 패시베이션 층(24)을 통해 구조를 형성하기 전의 다층 구조(10)의 마스크를 도시한 것이다. 패시베이션 층(24) 위에 적층되어 있고, 보호층으로도 지칭되는 마스크(26)는 패턴화되어 있다. 마스크(26)는 포토레지스트 또는 기타 광화상화 가능한 재료(photoimageable material)와 같은 재료로 형성될 수 있다. 마스크(26)로서 유용한 예시적인 포지티브 포토레지스트는 노볼락 수지, 디아조 나프타퀴논 및 n-부틸 아세테이트와 크실렌 등의 용매를 포함한다. 마스크(26)로서 유용한 예시적인 네가티브 포토레지스트는 고리화 합성 고무 수지, 비스-아릴아지드 및 방향족 용매를 포함할 수 있다. 이러한 마스크(26)는 다층 구조물(10)에 적용하거나 피복시킬 수 있으며, 스핀 피복 및 포토마스크 공정 및 패턴화 기술과 같이 당해 기술 분야에 공지된 기술에 의해 패턴화시킬 수 있다. 또한, 마스크(26)는, 에칭되지 않거나 또는 하부에 위치하는 패시베이션 층(24)보다 매우 느린 속도로 에칭되는, 정전기적으로 충전가능한 경화성 액체 재료(예: 중합체)의 에어로졸 분무 패턴을 포함한다. 이러한 정전기적으로 충전가능한 경화성 액체 재료를 분무 패턴화시키기 위한 예시적인 방법은, 본원에 전체가 참조 문헌으로 인용되는, 제임스 제이. 알완(James J. Alwan)에게 1997년 12월 9일자로 허여된 미국 특허 제5,695,658호(이하 '658 특허라 함)에 기재되어 있다. '658 특허에 따르면, 마스크(26)로서 포토레지스트 재료(포지티브 및 네가티브) 및 비광화상성 재료를 사용할 수 있다. 마스크(26)의 이용에 있어서, 기타 비광화상성 재료 및 적용방법과 이의 패턴화 방법도 본 발명의 방법의 범주내에 포함된다. 마스크(26)의 패턴화는 개구 또는 접촉 개구로도 지칭되는 개구부(28)를 형성하며, 이에 의해 후속적인 에칭 단계에서 마스크 하부의 패시베이션 층(28)에 소정의 구조가 형성될 것이다. 마스크(26)는 본 발명의 에칭제에 내성을 갖는 재료[즉, 에칭제는 마스크(26)를 에칭하지 않거나 또는 마스크의 에칭 속도가 에칭 기판의 에칭 속도에 비해 비교적 낮다]을 포함한다. 따라서, 마스크(26) 하부에 위치한 패시베이션 층(24) 영역은 후속적인 에칭 단계중에 에칭제로부터 보호된다.
이제 도 3을 살펴보면, 캐리어 기체와 함께 또는 캐리어 기체 없이, 에칭 챔버(나타내지 않음)로 도입되는 활성화된 에칭제(30)는 마스크(26)의 개구부(28)를 통해 노출되어 있는 패시베이션 층(24)의 영역을 공격하는 에칭을 나타낸 것이다. 이로써 한정되는 것은 아니지만, 고밀도 플라즈마 에칭, 반응성 이온 에칭(RIE), 자기 이온 에칭(MIE), 자기 강화 반응성 이온 에칭(MERIE), 플라즈마 에칭(PE), 포인트 플라즈마 에칭, 플라즈마 강화 반응성 이온 에칭(PERIE) 및 전자 사이클로트론 공명(ECR)을 포함하는, 당해 기술 분야에 공지된 건식 에칭 방법을 본 발명의 에칭제와 함께 사용할 수 있으며, 이들은 본 발명의 방법의 범주내에 포함된다. 활성화되는 경우, 본 발명의 C2HxFy 함유 에칭제를 포함하는 에칭제(30)는 패시베이션 층(22)을 통해 접촉 개구부(32)를 에칭시키거나 또는 개구를 중간 구조 층(22)가 노출될 때까지 사실상 수직 방향으로 에칭시킨다. 도핑되지 않은 이산화규소 또는 질화규소로 제조되는 중간 구조 층(22)은 에칭 정지층으로서 작용한다. 따라서, 당해 에칭제(30)는 패시베이션 층(24)의 에칭 속도보다 낮은 속도로 중간 구조 층(22)을 에칭시킨다. 패시베이션 층(24)의 노출된 영역이 에칭된 후, 마스크(26)는 세척 또는 에칭(습식 또는 건식) 기술과 같은 당해 기술 분야에 공지된 방법에 의해 제거할 수 있다.
도 4는 본 발명의 에칭 방법에 의해 패시베이션 층(24)을 통해 형성된 접촉 개구부(32) 또는 개구를 도시한 것이다. 접촉 개구부(32)는 반도체 기판(12)의 활성 표면(13)에 대하여 사실상 수직이거나 또는 반도체 기판(12)의 평면에 대하여 사실상 수직으로 배향되어 있는 측벽(34)을 포함한다. 다층 구조물(10)의 접촉 개구부(32)는 각각의 전도성 라인(18) 위에 배치되어 있는 중간 구조 층(22)의 적어도 일부분을 노출시킨다. 중간 구조 층(22)은 각각의 전도성 라인(18) 위의 캡(36)을 형성한다. 따라서, 캡(36)은 도핑되지 않은 이산화규소 또는 질화규소로 제조될 수 있다.
그 다음, 중간 구조 층(22)은, 상기 확산 영역(17)을 노출시키기 위해, 다층 구조물(10)을 마스킹하는 것 및 습식 또는 건식 에칭제[바람직하게는 확산 영역(17)의 재료보다 중간 구조 층(22)의 재료를 선택적으로 에칭시킨다]에 의해 중간 구조 층(22)을 패턴화시키는 것과 같은 공지된 방법에 의해 확산 영역(17)의 상부로부터 제거된다. 그 다음, 다층 구조물(10)의 추가의 가공을 당해 기술 분야에 공지된 바와 같이 수행할 수 있다[예를 들면, 확산 영역(17)과 접촉하는 전도성 구조를 형성시킴].
본 발명의 에칭제 및 방법의 사용의 또 다른 예를 도 5 내지 도 8에 나타내었다. 도 5는 본 발명의 방법이 사용될 수 있는 또 다른 예시적인 다층 구조물(10')를 도시한 것이다. 본원에서 반도체 장치 구조로도 지칭되는 다층 구조물(10')은 반도체 기판(12'), 반도체 기판(12')의 활성 표면(13') 위에 형성된 필드 산화물 층(14') 및, 필드 산화물 층(14')에 인접한 활성 장치 영역(16')을 포함한다. 본원에서 접촉부로도 지칭되는 전도성 도핑된 확산 영역(17')은 활성 표면(13')에 인접한 반도체 기판(12')의 활성 장치 영역(16')내에 형성된다. 당해 기술 분야에 공지되어 있는 폴리실리콘 또는 기타 전기 전도성 재료로부터 형성될 수 있는 전도성 라인(18')은 활성 장치 영역(16') 위의 활성 표면(13') 위에서 게이트 산화물(19')에 의해 분리되어 인접한 확산 영역(17)의 측면에 위치한다. 전도성 라인(18')은, 각각의 측면에 인접하여 배치된 측벽 스페이서(20')에 의해 및 각각의 전도성 라인(18')의 상부를 덮고 있는 캡(22')에 의해 차단된다. 측벽 스페이서(20') 및 캡(22')은 질화규소 또는 도핑되지 않은 이산화규소로 제조될 수 있다. 또한, 다층 구조(10')는 확산 영역(17'), 전도성 라인(18'), 측벽 스페이서(20') 및 캡(22') 위에 도핑된 이산화규소(예: BPSG, PSG 또는 BSG)로부터 형성된 패시베이션 층(24')을 포함한다.
도 6은 패시베이션 층(24') 위에 형성된 마스크(26') 또는 보호층을 포함하는 다층 구조(10')를 나타낸 것이다. 마스크(26')은 도 2에 나타낸 마스크(26)의 제조에 관하여 상술한 동일한 방법에 의해 형성될 수 있다. 마스크(26')는 개구 또는 접촉 개구로서 지칭되기도 하는 개구부(28')를 포함하며, 이를 통해, 후속적인 에칭 단계에서 하부에 배치되어 있는 패시베이션 층(24')내에 소정의 구조가 형성될 것이다. 마스크(26')는 본 발명의 에칭제에 내성이 있는 재료를 포함한다[즉, 에칭제는 에칭 마스크(26')를 에칭하지 않거나 또는 패시베이션 층(24')의 에칭 속도보다 상대적으로 느린 속도로 마스크(26')를 에칭시킨다]. 마스크(26')의 하부에 배치되어 있는 패시베이션 층(24')의 영역은 후속적인 에칭 단계 동안 에칭제로부터 보호된다.
마스크(26')를 통한 패시베이션 층(24') 재료의 제거를 도 7에 나타내었다. 캐리어 기체와 함께 또는 캐리어 기체 없이, 에칭 챔버에 도입되는 에칭제(30)는 마스크(26')의 개구부(28')를 통해 노출되어 있는 패시베이션 층(24')의 영역을 공격한다. 도 3에 나타낸 에칭제(30)의 사용에 관하여 위에 기재한 것과 같은 공지된 건식 에칭 방법을 본 발명의 방법의 에칭제와 함께 사용할 수 있다. 본 발명의 C2HxFy 함유 에칭제를 포함하는 에칭제(30)는, 도 8에 나타낸 바와 같이, 확산 영역(17')이 노출될 때까지 패시베이션 층(24')을 통해 개구부(32')를 사실상 수직 방향으로 에칭한다. 패시베이션 층(24')이 개구(28')를 통해 확산 영역(17')까지 에칭됨에 따라, 이산화규소 또는 질화규소로 형성된 측벽 스페이서(20')는 사실상 에칭되지 않거나, 패시베이션 층(24')이 에칭되는 속도보다 느린 속도로 에칭되어 접촉 개구(32')내에서 노출된다. 패시베이션 층(24')의 노출된 영역이 에칭된 후, 마스크(26')는 세척 또는 에칭 기술과 같은 당해 기술 분야에 공지된 방법에 의해 제거할 수 있다. 또한, 에칭제(30)는 바람직하게는 전도성 도핑된 이산화규소 또는 확산 영역(17')의 또 다른 재료 위의 도핑된 이산화규소를 선택적으로 에칭한다.
그 다음, 다층 구조물(10')의 추가의 가공을 당업자에게 공지된 방식으로 수행할 수 있다(예: 확산 영역(17')과 접촉하는 전도성 구조를 형성함).
상술한 다수의 설명은 본 발명의 범주를 한정하려는 것은 아니며, 단지 일부의 바람직한 양태를 설명하기 위해 제공된 것이다. 마찬가지로, 본 발명의 취지 또는 범주를 벗어나지 않고도 본 발명의 기타 양태를 구상할 수도 있다. 따라서, 본 발명의 범주는 상술한 설명에 의해서 보다는 청구항 및 이들의 법적인 균등한 내용에 의해서만 예시되고 한정된다. 본 청구항의 의미 및 범주내에 포함되는 본원에 상술한 모든 첨가, 삭제 및 변형은 본 발명의 범주내에 포함되어야 한다.

Claims (19)

  1. 도핑된 이산화규소 위에 마스크 재료를 배치하는 단계,
    상기 마스크 재료를 패턴화(patterning)하여 소정의 도핑된 이산화규소 영역을 노출시키는 단계 및
    도핑되지 않은 이산화규소 위와 질화규소 위에 존재하는 도핑된 이산화규소에 선택적이며 화학식 C2HxFy의 성분을 포함하는 에칭제(여기서, x는 3 내지 5의 정수이고, y는 1 내지 3의 정수이며, x + y는 6이다)를 사용하여 상기 노출된 소정 영역을 건식 에칭하는 단계
    를 포함하는, 도핑된 이산화규소의 패턴화 방법.
  2. 제1항에 있어서, 상기 마스크 재료 배치 단계가, 광화상화 가능한 재료(photoimageable material)를 배치하는 단계를 포함하는 방법.
  3. 제2항에 있어서, 상기 패턴화가 광석판인쇄술(photolithography)을 포함하는 방법.
  4. 제1항에 있어서, 상기 마스크 재료 배치 단계가, 비광화상성(non-photoimageable) 재료를 배치하는 단계를 포함하는 방법.
  5. 제1항에 있어서, 도핑된 이산화규소 하부에 에칭 정지층(etch stop)을 제공하는 단계 및 노출된 소정 영역을 에칭 정지층까지 에칭시키는 단계를 포함하는 방법.
  6. 제5항에 있어서, 상기 에칭 정지층 제공 단계가, 도핑되지 않은 이산화규소를 포함하는 에칭 정지층을 제공하는 단계를 포함하는 방법.
  7. 제5항에 있어서, 상기 에칭 정지층 제공 단계가, 질화규소를 포함하는 에칭 정지층을 제공하는 단계를 포함하는 방법.
  8. 제1항에 있어서, 상기 건식 에칭 단계가, 반응성 이온 에칭, 플라즈마 에칭, 고밀도 플라즈마 에칭, 포인트 플라즈마 에칭, 자기성 이온 에칭, 자기 강화 반응성 이온 에칭, 플라즈마 강화 반응성 이온 에칭 및 전자 사이클로트론 공명으로 이루어진 그룹으로부터 선택된 기술을 사용하여 수행되는 방법.
  9. 제1항에 있어서, 상기 건식 에칭 단계가 반응성 이온 에칭을 포함하는 방법.
  10. 제1항에 있어서, 상기 건식 에칭 단계가 고 플라즈마 에칭을 포함하는 방법.
  11. 도핑된 이산화규소 구조물과, 인접한 도핑되지 않은 이산화규소 구조물의 적어도 일부를, 화학식 C2HxFy의 성분을 포함하는 에칭제(여기서, x는 3 내지 5의 정수이고, y는 1 내지 3의 정수이며, x + y는 6이다)에 노출시키는 단계 및
    상기 도핑되지 않은 이산화규소 구조물을 사실상 에칭시키지 않으면서 상기 에칭제를 사용하여 도핑된 이산화규소 층을 에칭하는 단계
    를 포함하는, 도핑된 이산화규소 구조물의 선택적인 에칭 방법.
  12. 제1항에 있어서, 상기 마스크 배치 단계가, 도핑된 이산화규소를 포함하는 패시베이션 층(passivation layer) 위에 상기 마스크를 배치하는 단계 및 복수의 트랜지스터 게이트 구조물 위에 놓는 단계를 포함하는 방법.
  13. 제12항에 있어서, 상기 건식 에칭 단계가 패시베이션 층을 통하여 하나 이상의 개구를 형성하는 단계를 포함하는 방법으로,
    상기 하나 이상의 개구가, 패시베이션층과 복수의 트랜지스터 게이트 구조물이 그 위에 배치되는 반도체 기판의 평면에 사실상 수직인 하나 이상의 측벽을 포함하며,
    상기 하나 이상의 측벽이, 복수의 트랜지스터 게이트 구조물의 하나 이상의 측벽 및 하나 이상의 캡과 경계를 이루며,
    상기 측벽 또는 상기 캡이, 도핑되지 않은 이산화규소 또는 질화 규소를 포함하는 것인 방법.
  14. 제13항에 있어서, 상기 하나 이상의 개구 형성 단계가, 상기 하나 이상의 측벽에 측면으로 인접한 영역에, 상기 반도체 기판의 캡, 측벽 및 활성 소자부 중 하나 이상의 영역을 측면으로 노출하는 단계를 포함하는 방법.
  15. 제1항 내지 제10항 및 제12항 내지 제14항 중 어느 한 항에 있어서, 상기 도핑된 이산화 규소의 소정의 노출 영역의 건조 에칭 단계가, 보로실리케이트 유리, 포스포실리케이트 유리 및 보로포스포실리케이트 유리의 하나 이상의 소정의 노출된 영역을 건식 에칭하는 단계를 포함하는 방법.
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
KR1020037000043A 2000-07-05 2001-07-05 도핑되지 않은 이산화규소와 질화규소 위의 도핑된이산화규소를 선택적으로 에칭시키는 방법 KR100750081B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US61004900A 2000-07-05 2000-07-05
US09/610,049 2000-07-05
PCT/US2001/041275 WO2002003439A2 (en) 2000-07-05 2001-07-05 Process for selectively etching doped silicon dioxide over undoped silicon dioxide and silicon nitride

Publications (2)

Publication Number Publication Date
KR20030038651A KR20030038651A (ko) 2003-05-16
KR100750081B1 true KR100750081B1 (ko) 2007-08-21

Family

ID=24443420

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037000043A KR100750081B1 (ko) 2000-07-05 2001-07-05 도핑되지 않은 이산화규소와 질화규소 위의 도핑된이산화규소를 선택적으로 에칭시키는 방법

Country Status (8)

Country Link
EP (1) EP1297564B1 (ko)
JP (1) JP2004503082A (ko)
KR (1) KR100750081B1 (ko)
CN (1) CN1211841C (ko)
AT (1) ATE408896T1 (ko)
AU (1) AU2001278191A1 (ko)
DE (1) DE60135844D1 (ko)
WO (1) WO2002003439A2 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10311691A1 (de) * 2003-03-17 2004-10-07 Infineon Technologies Ag Herstellungsverfahren für eine Halbleiterstruktur
US8778804B2 (en) * 2009-01-30 2014-07-15 Fei Company High selectivity, low damage electron-beam delineation etch
CN102945892B (zh) * 2012-11-07 2015-08-05 南通大学 一种太阳能电池制造方法
US10217681B1 (en) 2014-08-06 2019-02-26 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61251138A (ja) * 1985-04-30 1986-11-08 Matsushita Electric Ind Co Ltd ドライエツチング方法
WO1998049719A1 (en) * 1997-04-30 1998-11-05 Micron Technology, Inc. Undoped silicon dioxide as etch stop for selective etch of doped silicon dioxide

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3420347A1 (de) * 1983-06-01 1984-12-06 Hitachi, Ltd., Tokio/Tokyo Gas und verfahren zum selektiven aetzen von siliciumnitrid
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
US6066555A (en) * 1995-12-22 2000-05-23 Cypress Semiconductor Corporation Method for eliminating lateral spacer erosion on enclosed contact topographies during RF sputter cleaning
US5814563A (en) * 1996-04-29 1998-09-29 Applied Materials, Inc. Method for etching dielectric using fluorohydrocarbon gas, NH3 -generating gas, and carbon-oxygen gas
US6018184A (en) * 1998-01-22 2000-01-25 Micron Technology, Inc. Semiconductor structure useful in a self-aligned contact having multiple insulation layers of non-uniform thickness
US6117791A (en) * 1998-06-22 2000-09-12 Micron Technology, Inc. Etchant with selectivity for doped silicon dioxide over undoped silicon dioxide and silicon nitride, processes which employ the etchant, and structures formed thereby

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61251138A (ja) * 1985-04-30 1986-11-08 Matsushita Electric Ind Co Ltd ドライエツチング方法
WO1998049719A1 (en) * 1997-04-30 1998-11-05 Micron Technology, Inc. Undoped silicon dioxide as etch stop for selective etch of doped silicon dioxide

Also Published As

Publication number Publication date
WO2002003439A2 (en) 2002-01-10
DE60135844D1 (de) 2008-10-30
CN1211841C (zh) 2005-07-20
WO2002003439A8 (en) 2002-07-04
JP2004503082A (ja) 2004-01-29
EP1297564A2 (en) 2003-04-02
AU2001278191A1 (en) 2002-01-14
WO2002003439A3 (en) 2002-06-06
CN1451176A (zh) 2003-10-22
KR20030038651A (ko) 2003-05-16
EP1297564B1 (en) 2008-09-17
ATE408896T1 (de) 2008-10-15

Similar Documents

Publication Publication Date Title
US6117791A (en) Etchant with selectivity for doped silicon dioxide over undoped silicon dioxide and silicon nitride, processes which employ the etchant, and structures formed thereby
US5626716A (en) Plasma etching of semiconductors
US7153779B2 (en) Method to eliminate striations and surface roughness caused by dry etch
US7470625B2 (en) Method of plasma etching a substrate
US20060011579A1 (en) Gas compositions
US20070148965A1 (en) Method and composition for plasma etching of a self-aligned contact opening
US6812131B1 (en) Use of sacrificial inorganic dielectrics for dual damascene processes utilizing organic intermetal dielectrics
US5332653A (en) Process for forming a conductive region without photoresist-related reflective notching damage
JPH1098029A (ja) 基板から有機反射防止膜をエッチングする処理法
KR100750081B1 (ko) 도핑되지 않은 이산화규소와 질화규소 위의 도핑된이산화규소를 선택적으로 에칭시키는 방법
US6803307B1 (en) Method of avoiding enlargement of top critical dimension in contact holes using spacers
US6875371B1 (en) Etchant with selectivity for doped silicon dioxide over undoped silicon dioxide and silicon nitride, processes which employ the etchant, and structures formed thereby
US7173339B1 (en) Semiconductor device having a substrate an undoped silicon oxide structure and an overlaying doped silicon oxide structure with a sidewall terminating at the undoped silicon oxide structure
KR100587039B1 (ko) 반도체 장치의 콘택홀 형성방법
KR100430690B1 (ko) 반도체소자의콘택형성방법
KR19980057105A (ko) 반도체 장치의 콘택홀 형성방법
JP3902726B2 (ja) 未ドープ二酸化ケイ素に対して選択的に高密度プラズマエッチング器でドープ二酸化ケイ素をエッチングする方法
KR100223869B1 (ko) 반도체 소자의 제조 방법
KR100256809B1 (ko) 반도체 소자의 콘택홀 형성방법
KR100458087B1 (ko) 반도체장치제조방법
JP2001210618A (ja) ドライエッチング方法
KR19990047250A (ko) 반도체장치의 절연막 식각방법
KR20010036161A (ko) 하드마스크를 이용한 자기정렬 콘택의 콘택홀 형성방법
KR20000003119A (ko) 반도체 소자의 금속배선 형성방법
KR20020046681A (ko) 반도체 소자의 콘택홀 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120719

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130719

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140721

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150716

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160721

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170720

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20190813

Year of fee payment: 13