CN117888078A - 一种在衬底上沉积含硼膜的方法和设备 - Google Patents

一种在衬底上沉积含硼膜的方法和设备 Download PDF

Info

Publication number
CN117888078A
CN117888078A CN202311648018.8A CN202311648018A CN117888078A CN 117888078 A CN117888078 A CN 117888078A CN 202311648018 A CN202311648018 A CN 202311648018A CN 117888078 A CN117888078 A CN 117888078A
Authority
CN
China
Prior art keywords
boron
containing precursor
substrate
carbon
radicals
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202311648018.8A
Other languages
English (en)
Inventor
马修·斯科特·韦默
巴德里·N·瓦拉达拉简
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN117888078A publication Critical patent/CN117888078A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/342Boron nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition

Abstract

可使用远程等离子体化学气相沉积(CVD)技术沉积硼氮化物膜、硼碳化物膜、或硼碳氮化物膜。将含硼前体提供至反应室,其中含硼前体具有与氢原子键合的至少一个硼原子。例如氢自由基物质之类的自由基物质从远程等离子体源以基本上低能态或基态提供并且进入反应室。烃前体可随着含硼前体一起流动,且含氮等离子体物质可随着自由基物质一起从远程等离子体源导入反应室。含硼前体可随着烃前体和含氮前体中的一者或两者一起与自由基物质相互作用,以沉积硼氮化物膜、硼碳化物膜、或硼碳氮化物膜。

Description

一种在衬底上沉积含硼膜的方法和设备
本申请是申请号为201980058950.0、申请日为2019年7月18日、发明名称为“基于远程等离子体的硼氮化物、硼碳化物和硼碳氮化物膜的沉积”的申请的分案申请。
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。如在同时提交的PCT申请表中所标识的本申请要求享有其优先权权益的每个申请均通过引用全文并入本文且用于所有目的。
背景技术
碳化硅(SiC)类膜拥有可用于多种应用,尤其是集成电路应用中的物理、化学、电气、和机械性质。硼氮化物(BxNy),硼碳化物(BxCy)、和硼碳氮化物(BxCyNz)类薄膜拥有独特的物理、化学、电气、和机械性质,其可在包括集成电路应用在内的多种应用中使用,且于某些案例中甚至可替代SiC膜。
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
发明内容
本发明提供了一种在衬底上沉积含硼膜的方法。所述方法包含:在反应室中提供衬底;使含硼前体流入所述反应室朝向所述衬底;以及使含碳前体随着所述含硼前体一起流入所述反应室。所述含硼前体具有一或更多个B-H键。所述方法还包括:在远程等离子体源中从氢源气体产生氢自由基,所述氢自由基在所述含硼前体和所述含碳前体的上游产生;以及将所述氢自由基导入所述反应室并且朝向所述衬底,其中所述氢自由基处于基态,以与所述含硼前体和所述含碳前体反应,从而在所述衬底上形成含硼膜。
在一些实现方式中,在邻近所述衬底的环境中的所有或基本上所有氢自由基是处于所述基态中的氢自由基。在一些实现方式中,所述含硼前体包括硼烷。所述含硼前体可以包括乙硼烷、三硼烷、四硼烷、五硼烷、六硼烷、或十硼烷。在一些实现方式中,所述含碳前体为具有至少碳-碳双键或三键的烃分子。所述含碳前体可以包括丙烯、乙烯、丁烯、戊烯、丁二烯、戊二烯、己二烯、庚二烯、甲苯、苯、乙炔、丙炔、丁炔、戊炔、或己炔。在一些实现方式中,所述含硼膜不具有C-C键或基本上不具有C-C键。在一些实现方式中,所述方法还包含:在所述远程等离子体源中随着所述氢源气体提供含氮反应物,其中在所述远程等离子体源中产生所述含氮反应物的自由基;以及将所述含氮反应物的所述自由基随着所述氢自由基一起导入所述反应室并且朝向所述衬底,其中所述含氮反应物的所述自由基和所述氢自由基与所述含硼前体和所述含碳前体反应,以形成硼碳氮化物(BCN)膜。在一些实现方式中,所述含硼膜具有至少95%的保形性。在一些实现方式中,所述含硼膜具有等于或大于约130GPa的杨氏模数。在一些实现方式中,所述含硼前体具有一或更多个B-C和/或B-N键。在一些实现方式中,所述含硼膜中的硼原子浓度介于约30%与约75%之间,并且所述含硼膜中的碳原子浓度介于约15%与约45%之间。
另一方面涉及一种在衬底上沉积含硼膜的方法。所述方法包含:在反应室中提供衬底;使含硼前体流入所述反应室朝向所述衬底;在远程等离子体源中,由包括氢气和含氮反应物的源气体产生氢自由基和所述含氮反应物的自由基,所述氢自由基和所述含氮反应物的自由基在所述含硼前体的上游产生;以及将所述氢自由基和所述含氮反应物的自由基导入所述反应室并且朝向所述衬底。所述氢自由基处于基态,以与所述含硼前体反应,从而在所述衬底上形成含硼膜。所述含硼前体具有一或更多个B-H键。
在一些实现方式中,所述方法还包含:使含碳前体随着所述含硼前体一起流入所述反应室,其中处于所述基态中的所述氢自由基与所述含硼前体和所述含碳前体反应,以形成所述含硼膜。
这些和其它实施方案将参考附图在下面进一步进行描述。
附图说明
图1A示出了沉积在衬底上的示例性硼氮化物、硼碳化物、或硼碳氮化物膜的横截面示意图。
图1B示出了保形沉积在衬底的特征上的示例性硼氮化物、硼碳化物、或硼碳氮化物膜的横截面示意图。
图1C示出了在晶体管的栅电极的侧壁上的示例性硼氮化物、硼碳化物、或硼碳氮化物竖直结构的横截面示意图。
图1D示出了在气隙型金属化层中的铜线的暴露侧壁上的示例性硼氮化物、硼碳化物、或硼碳氮化物竖直结构的横截面示意图。
图1E示出了用于多孔介电材料的示例性硼氮化物、硼碳化物、或硼碳氮化物孔密封剂的横截面示意图。
图2显示了来自含碳前体的活化烃分子与活化含硼前体之间的化学反应的示例。
图3示出了根据一些实施方案的具有远程等离子体源的示例性等离子体处理装置的示意图。
图4示出了根据一些其他实施方案的具有远程等离子体源的示例性等离子体处理装置的示意图。
图5显示了使用含硼前体、含碳前体、和远程氢等离子体的硼碳氮化物膜的远程等离子体CVD的FTIR光谱的曲线图。
图6显示了沉积在衬底上的硼碳氮化物薄膜的B1s、C 1s和N1s的XPS数据的曲线图。
图7显示了使用含硼前体、含碳前体、和带有载体气体的远端氢等离子体而沉积于衬底特征上的硼碳氮化物薄膜的TEM图像。
具体实施方式
在本公开中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”和“部分制造的集成电路”可互换使用。本领域的普通技术人员应理解的是,术语“部分制造的集成电路”可以指集成电路制造的许多阶段中的任意阶段期间的硅晶片。在半导体设备工业中使用的晶片或衬底典型地具有200毫米或300毫米或450毫米的直径。下面的详细描述假设本公开是在晶片上实现。然而,本公开并不受此限制。工件可以是各种形状、尺寸和材料。除了半导体晶片外,可利用本公开优点的其它工件还包括各种物品,如印刷电路板等。
引言
半导体设备的制造典型涉及在集成制造工艺中于衬底上沉积一或更多层薄膜。例如,硅碳化物类薄膜在半导体设备制造中是合乎期望的,因为其具有低介电常数。硅碳化物类薄膜还会尤其因为其对于其他膜的粘附性、与铜的电迁移性能、阻挡性质、蚀刻选择性、低漏电流、高击穿电压、保形性、高化学稳定性、和高热稳定性等属性而是合乎期望的。然而,硅碳化物膜的性能可能无法满足微电子工业的未来需要或要求。
包括硼氮化物(BN)、硼碳化物(BC)、和硼碳氮化物(BCN)膜在内的含硼膜可能具有与未掺杂或已掺杂的硅碳化物膜不同的性质或未掺杂或已掺杂的硅碳化物膜中不存在的性质。例如,硼氮化物、硼碳化物和硼碳氮化物薄膜可尤其因为其介电常数低、对于其他薄膜的粘附性、与铜的电迁移性能、阻挡性质、蚀刻选择性、低漏电流、高击穿电压、保形性、高化学稳定性和高热稳定性等属性而在半导体设备制造中是合乎期望的。如下面更详细地讨论的,此等含硼膜可沉积成具有优于碳化硅膜的独特的物理、化学、电气、和机械性能。如本文所使用的,含硼膜可意指硼氮化物膜、硼碳化物膜、或硼碳氮化物膜。
在制造工艺的一些方面中,典型地可使用物理气相沉积(PVD)、化学气相沉积(CVD)、或等离子体增强化学气相沉积(PECVD)工艺来沉积含硼膜。用于沉积含硼膜的前体分子包括含硼分子,例如硼烷、高级硼烷、硼卤化物、氨基硼烷、硼嗪(borazines)、烷基取代的硼嗪等。前体分子可与含碳反应物和/或含氮反应物反应。沉积高质量的含硼膜可能会面临许多挑战,例如提供具有优异的台阶覆盖率和低介电常数的膜。
当前的PECVD工艺可使用原位等离子体处理,其中等离子体直接邻近衬底提供。尽管本公开内容不受任何特定理论所限制,但是相信典型的PECVD工艺中的等离子体条件造成含硼的前体分子形成具有高粘附系数的反应性前体片段。前体分子及其片段的高粘附系数可沉积具有不佳的台阶覆盖率的含硼膜,因为反应性前体片段可不成比例地粘在侧壁和凹陷特征中的其他结构的上方区域。
此外,来自当前PECVD工艺的直接等离子体将增长碳。具体而言,直接等离子体条件将产生高能量物质,除了B-C和B-N键以外,其还会导致含硼膜中的C-C、N-N、和/或C-N键合。这产生硼碳化物和/或硼氮化物与碳和/或碳氮化物的合金。这样的膜具有较差的机械性质和较高的蚀刻速率,这可由于来自硼碳化物和/或硼氮化物的碳的偏析。
有时候在PECVD中所采用的直接等离子体条件可能导致沉积中的方向性,因为分解前体分子的能量可能为低频率,这在表面产生大量离子轰击。方向性沉积也可能导致具有不佳的台阶覆盖率和低的特征内侧壁膜密度的含硼膜的沉积。直接等离子体为其中等离子体(适当浓度下的电子和正离子)在沉积期间驻留在靠近衬底表面,有时仅通过等离子体鞘与衬底表面分离。
PECVD条件不仅可能导致具有不佳的台阶覆盖率和低的特征内侧壁膜密度的含硼膜,而且PECVD条件可能导致具有降低的膜质量和不利的高介电常数的含硼膜。直接等离子体条件可能导致所沉积的含硼膜中的硼氢键合(B-H)增加。直接等离子体条件可能导致碳-碳键合(C-C)、氮-氮键合(N-N)和/或碳-氮键合(C-N)增加。这些键的存在可能产生具有低台阶覆盖率、不佳的机械性能和不佳的电性能的膜,包括具有不利的高介电常数的膜。
沉积期间在衬底表面的环境
图1A说明了沉积在衬底上方的示例性硼氮化物、硼碳化物、或硼碳氮化物膜的截面概要图。图1A中的含硼膜101可以是硼氮化物、硼碳化物、或硼碳氮化物膜。含硼膜101可在与衬底100相邻而产生相对温和的环境的工艺条件下形成。衬底100可以是任何晶片、半导体晶片、部分制造的集成电路、印刷电路板、显示屏幕、或其他适当的工件。用于沉积含硼膜101的工艺可涉及一或更多种含硼前体,每一种具有一或更多个B-H键。硼原子中的至少一个键合至氢原子。在一些实现方式中,含硼前体中的每一者可具有一或更多个B-B键。在一些实现方式中,含硼前体中的每一者不具有B-C键,也不具有B-N键。示例性的含硼前体的化学结构在下面进一步详细地讨论。
含硼前体包括一或更多个B-H键和任选的一或更多个B-B键。然而,应理解,另外的含硼前体(例如三氯化硼)可能未必包括B-H键或B-B键。这些另外的含硼前体可同时有具一或更多个B-H键的含硼前体。在沉积工艺期间,B-H键选择性地断开,并用作与其他反应物交联或形成键合的活性部位。换句话说,与衬底100相邻的反应条件提供用于B-H键的选择性断开,使得氢从断开的B-H键提取出来。
通常,所描述的反应条件存在于衬底100的暴露面(沉积含硼膜101的面)处。它们还可存在于衬底100上方的一定距离处,例如,在衬底100上方的约0.5微米至约150毫米处。实际上,前体的活化可在衬底100上方的相当远的距离处以气相形式发生。通常,相关的反应条件在衬底100的整个暴露面上会是均匀的或基本均匀的,但某些应用可允许一些变化。
除了含硼前体外,工件(例如,衬底100)附近的环境还包括一种或多种自由基物质,优选处于基本上低能态的自由基物质。这些物质的实例包括氢自由基(即,氢原子自由基)。在一些实施方案中,氢原子自由基中的所有的、或基本上所有的、或相当大的部分会处于基态(ground state),例如,在工件附近的至少约90%或95%的氢原子自由基处于基态。在一些实施方案中,将源气体导入远程等离子体源,其中源气体可以是氢源气体。在一些实施方案中,源气体是在载气(如氦)中提供。作为一个示例,氢气(H2)可在氦载体中以约1-10%的浓度的氢进行提供。选择压强、载气(如氦)的比例和其他工艺条件,以使氢原子作为处于未经重组的低能态的自由基来碰撞衬底100。
如别处所解释的,氢气可被供给到远程等离子体源以产生氢原子自由基。可将远程等离子体源定位于衬底100以及邻近衬底100的环境的上游。该氢原子自由基一旦产生,就可处于激发能量状态下。例如,处于激发能量状态的氢可具有至少10.2eV(第一激发状态)的能量。在一些实现方式中,当激发的氢原子自由基失去其能量或弛豫(relax)时,该激发的氢原子自由基可以成为基本上低能态的氢原子自由基或基态的氢原子自由基。在一些实现方式中,沉积条件可以设计成使得激发的氢原子自由基失去能量或弛豫以形成基本上低能态或基态的氢原子自由基。例如,远程等离子体源或相关联的组件可以被设计成为使得从远程等离子体源扩散到衬底100的氢原子自由基的驻留时间大于激发的氢原子自由基的能量弛豫时间(energetic relaxation time)。激发的氢原子自由基的能量弛豫时间可以是约等于或小于约1×10 -3秒。
氢原子自由基的相当大的部分处于基态的状态可通过各种技术来实现。如下面所述,一些装置被设计成实现这种状态。可以测试和调整装置特征和工艺控制特征以产生温和状态,在该温和状下氢原子自由基中的相当大的部分是处于基态。例如,装置可以针对等离子体源下游(即,衬底100附近)的带电粒子来进行操作和测试。该工艺和装置可以进行调节,直到衬底100附近基本上不存在任何带电物质。此外,装置和工艺的特征可以被调节成一种配置,在该配置中这些装置和工艺开始从含硼前体产生含硼膜101。选择支持这样的膜沉积的相对温和的条件。
除了含硼前体以外,与工件(例如,衬底100)相邻的环境还可包括一或更多种含氮自由基物质(即,含氮等离子体物质)。含氮自由基物质可将氮并入含硼膜101中,以形成硼氮化物膜或硼碳氮化物膜。在一些实现方式中,含氮自由基物质可包括元素氮自由基(原子或双原子)和/或例如氨自由基之类的含N-H自由基。含N-H自由基的示例包括但不限于甲胺、二甲胺、和苯胺的自由基。
含氮自由基物质和氢自由基物质可由远程等离子体源产生。远程等离子体源可定位于衬底100和邻近衬底100的环境的上游。因此,可将含氮自由基物质沿着与氢自由基物质相同的流动路径导入反应室并朝向衬底100。可将源气体导入远程等离子体源,其中,源气体可包括氢气、氮气、含N-H物质、或其混合物。前述自由基物质可从源气体产生。氢气至少部分地转化为远程等离子体源中的氢的离子和/或自由基。向远程等离子体源提供例如氮气(N2)或氨(NH3)之类的含氮反应物,其中,含氮反应物至少部分地转化为远程等离子体源中的含氮反应物的离子和/或自由基。这会在远程等离子体源中产生含氮自由基。含氮自由基物质和氢自由基物质两者均与含硼前体反应,以产生所沉积的含硼膜101。
除了含硼前体以外,邻近工件(例如,衬底100)的环境可包括一或更多种含碳前体。含碳前体可将碳并入含硼膜101中,以形成硼碳化物膜或硼碳氮化物膜。每一种含碳前体可以是具有一或更多个碳-碳双键或三键的烃分子。含碳前体与含硼前体一起流入反应室朝向衬底100。
将含碳前体导入远程等离子体源下游的反应室。换句话说,氢自由基物质和/或含氮自由基物质从含碳前体和含硼前体的上游产生。可经由与含硼前体相同的流动路径使含碳前体导入反应室。这意指可在不直接暴露于等离子体的情况下经由气体出口或喷头导入含碳前体和含硼前体。
除了含硼前体以外,邻近工件(例如衬底100)的环境还可包括惰性载体气体或稀释气体。惰性载体气体或稀释气体的示例包括但不限于氦(He)、氖(Ne)、氩(Ar)、氪(Kr)、氙(Xe)、和氮(N2)。在沉积反应表面的上游,含硼前体可与惰性载体气体混合。在一些实现方式中,氢气与惰性载体气体氦一起提供。惰性载体气体可具有大于氢气的质量。在一些实现方式中,在远程等离子体源中提供氦、氢、和氮的气体混合物。
邻近衬底100的环境中的温度可以是促进沉积反应的任何合适的温度,但有时受限于包含含硼膜101的设备的应用。在一些实施方案中,在含硼膜101的沉积期间,邻近衬底100的环境中的温度可以在很大程度上通过在上面支撑有衬底100的基座的温度进行控制。在一些实施方案中,操作温度可以是介于约50℃和约500℃之间。例如,在许多集成电路应用中操作温度可以是介于约250℃和约400℃之间。在一些实施方案中,提高温度可以导致衬底表面上的交联增加。
在邻近衬底100的环境中的压强可以是用于在反应室中生成活性自由基的任何合适的压强。在一些实施方案中,压强可为约35托或更低。例如,如在采用微波生成等离子体的实施方案中,压强可以是介于约10托和约20托之间。在其它示例中,如在采用射频(RF)生成等离子体的实施方案中,压强可以是小于约5托、或介于约0.2托和约5托之间。
邻近衬底100的环境提供用于通过远程等离子体CVD在衬底100上沉积含硼膜101。含硼前体分子可流入反应室朝向衬底100。将源气体供应至反应室上游的远程等离子体源,且将功率提供至远程等离子体源,这可造成源气体解离并以激发能态产生离子和自由基。在激发之后,处于激发能态中的自由基弛豫为基本上低能态的自由基或基态的自由基,例如基态的氢自由基。在一些实施方案中,源气体可包括含氮反应物,使得可在远程等离子体源中产生激发的含氮等离子体物质。源气体的自由基物质可与含硼前体分子中的键反应,其中含硼前体分子具有至少一个B-H键。所述反应可在邻近衬底100的环境发生,以造成含硼膜101的沉积,所述含硼膜101可以是硼氮化物或硼碳氮化物膜。在一些实施方案中,含碳前体分子可随着含硼前体分子一起流入反应室。源气体的自由基物质可与含碳前体分子和含硼前体分子中的键反应。所述反应可在邻近衬底100的环境下发生,以造成含硼膜101的沉积,所述含硼膜101可以是硼碳化物或硼碳氮化物膜。
在一些实施方案中,沉积膜中的基本上全部或相当大部分原子由前体分子提供,所述前体分子包括含硼前体分子和含碳前体分子以及含氮反应物。在该案例中,包括用于驱动沉积反应的基态氢自由基的低能自由基基本上对于沉积层的质量无贡献。在一些实施方案中,靠近晶片平面可潜在地存在一些更高能态的自由基或者甚至离子。
在一些实施方案中,工艺条件采用处于基本上低能态的自由基物质,其足以活化含碳的前体分子和含硼的前体分子。该工艺条件在高能态(例如高于基态的状态)下可能没有大量的离子、电子、或自由基。在一些实施方案中,在邻近膜的区域中的离子浓度不大于约107/cm3。大量离子或高能自由基的存在会倾向于产生具有不期望的电性能(例如,具有高介电常数和/或低击穿电压)、机械性能(例如,低杨氏模数和/或高固有应力)、和较差的保形性的膜。
图2显示了来自含碳前体的活化烃分子与活化的含硼前体之间的化学反应的示例。不受任何理论所限制,处于基本上低能态或基态中的氢自由基可与烃分子中的炔基或烯基相互作用,其导致形成活化的烃分子。另外,处于基本上低能态或基态的氢自由基可与含硼前体中的B-H键相互作用,这导致形成活化的含硼前体。氢自由基以称作“饱和”的处理活化烃分子中的双键或三键,以产生基于碳的自由基物质。另外,氢自由基可与含硼前体中的B-H键反应,以破坏B-H键并形成基于硼的自由基物质和氢(H2)副产物。在一些情况下,基于硼的自由基物质可与烃分子中的双键或三键反应,以形成B-C键并沉积含硼薄膜。在一些情况下,基于碳的自由基物质与含硼前体中的弱B-H键反应,以形成B-C键和氢(H·)自由基,其中,所述反应导致含硼膜的沉积。
作为含碳前体的补充或替代,来自含氮反应物的基于氮的等离子体物质可参与沉积反应,以将氮并入含硼膜中。氮基等离子体物质的示例可包括含N-H的自由基或氮自由基。基于氮的等离子体物质可破坏B-H键,以形成B-N键并沉积硼氮化物膜或硼碳氮化物膜。
在一些实施方案中,仅自由基物质、含硼前体、和含碳前体有助于沉积的含硼膜的组成。在其他实施方案中,沉积反应包括除上述前体和自由基物质以外的共反应物,其会或不会有助于含硼膜的组成。该共反应物的示例包括二氧化碳(CO2)、一氧化碳(CO)、水(H2O)、甲醇(CH3OH)、氧气(O2)、臭氧(O3)、一氧化二氮(N2O)及其组合。这样的材料可用作氮化剂、氧化剂、还原剂等。在一些案例中,其可用于调整沉积膜中的碳量。在一些案例中,它们可用于调整沉积膜中的氮或氧量。在一些实施方案中,可在例如不直接暴露于等离子体的情况下将共反应物与含硼前体一起导入。在一些实施方案中,可在例如于远程等离子体源中暴露于等离子体的情况下将共反应物与氢自由基物质一起导入。
含硼膜可用于半导体设备中。例如,硼氮化物膜、硼碳化物膜或硼碳氮化物膜尤其可用作金属扩散阻挡、蚀刻停止层、硬屏蔽层、用于源极和漏极植入的栅极间隔物、磁阻式随机存取存储器(MRAM)或电阻式随机存取存储器(RRAM)的包覆屏障、以及在气隙处的气密性扩散阻挡层等等应用。图1B-1E说明在多种应用中含有含硼膜的结构的截面。图1B说明了保形地沉积于衬底的特征上的示例性硼氮化物、硼碳化物、或硼碳氮化物膜的截面概要图。图1C说明了在晶体管的栅极电极的侧壁上的示例性硼氮化物、硼碳化物、或硼碳氮化物竖直结构的截面概要图。图1D说明了在气隙型金属化层中的铜线的暴露侧壁上的示例性硼氮化物、硼碳化物、或硼碳氮化物竖直结构的截面概要图。图1E说明用于多孔介电材料的示例性硼氮化物、硼碳化物、或硼碳氮化物孔隙密封剂的截面概要图。这些应用中的每一者将在下面更详细地讨论。
前体的化学结构
如所讨论的,在形成硼氮化物、硼碳化物、或硼碳氮化物膜中所采用的至少一些前体可包括具有一或更多个B-H键的含硼前体。在一些实施方案中,含硼前体不具有B-N键,也不具有B-C键。换句话说,在形成碳化物或氮化物膜时,含硼前体不具有内置在该前体中的氮或碳。在一些实施方案中,含硼前体具有一或更多个B-B键。
含硼前体可以是整体上具有化学式BxHy的硼烷前体。在一些实施方案中,硼烷前体是硼烷(BH3)。在一些实施方案中,硼烷前体为乙硼烷(B2H6)。在一些实施方案中,硼烷前体为较高级的硼烷,例如三硼烷(B3H7)、四硼烷(B4H10)、五硼烷(B5H9)、六硼烷(B6H10)、和十硼烷(B10H14)。
硼烷可形成稳定的络合物,例如硼烷胺络合物。例如,硼烷胺络合物可包括二甲胺硼烷络合物((CH3)2NH:BH3)。硼烷胺络合物通常可具有化学式NR3:BH3,其中R可以是H或烷基、烯丙基、烯基、炔基、烷基芳基、芳基烷基、苯基、烯烃和炔烃配体的任何组合。
在一些实现方式中,含硼前体可以是通常具有化学式BxHyNz的硼嗪。例如,硼嗪前体可具有化学式B3H6N3
在所沉积的含硼膜是硼碳化物或硼碳氮化物膜的情况下,沉积反应中所采用的至少一些前体可包括含碳的前体。含碳前体可以是任何合适的烃分子。在一些实施方案中,烃分子包括3个碳原子和7个碳原子之间的碳链。在一些实施方案中,烃分子可包括一或更多不饱和碳键,例如一或更多个碳-碳双键或三键。因此,烃分子可包括烯基或炔基。合适的烃分子的示例包括丙烯、乙烯、丁烯、戊烯、丁二烯、戊二烯(例如1,4-戊二烯)、己二烯、庚二烯、甲苯和苯。合适的烃分子的其他示例包含乙炔、丙炔、丁炔、戊炔(例如1-戊炔)以及己炔(例如2-己炔)。
在一些实施方案中,含碳前体可以是沉积添加剂。无论温度如何,甚至对于大于约50℃或大于约25℃的温度,沉积添加剂都可以与含硼前体形成物质。含碳前体不作为被动旁观者,而是可显著地促进含硼膜的组成。含碳前体和与处于基本上低能态或基态中的氢自由基反应的副产物可以大量并入含硼膜中。如本文所使用的,相关于来自含碳前体的碳并入含硼膜中的“大量”可意指相比于没有含碳前体的含硼膜的沉积,碳原子浓度中的变化等于或大于约5%。
装置
本发明的一个方面是配置成实现本文所描述的方法的装置。适当的装置包括用于实现工艺操作的硬件和具有用于根据本发明控制工艺操作的指令的系统控制器。在一些实施方案中,用于执行前述工艺操作的装置可以包括远程等离子体源。相比于直接等离子体,远程等离子体源提供温和的反应条件。适当的远程等离子体装置的示例在于2013年10月24日提交的美国专利申请No.14/062,648中被描述,该美国专利申请被以引用的方式全部并入本文且用于所有目的。
图3示出了根据一些实施方案的远程等离子体装置的一个示意图。该设备300包括具有喷头320的反应室310。在反应室310的内部,衬底330搁置在台座或基座335上。在一些实施方案中,基座335可以装配有加热/冷却元件。控制器340可以被连接到设备300的组件,以控制设备300的操作。例如,该控制器340可以包含用于控制设备300的操作的工艺条件的指令,如温度工艺条件和/或压力工艺条件。在一些实施方案中,控制器340可包含用于控制前体气体、共反应气体、源气和载气的流率的指令。控制器340可以包含用于随时间的推移改变共反应气体的流率的指令。附加地或可替代地,控制器340可包含用于随时间的推移改变前体气体的流率的指令。控制器340的更详细的说明在下文提供。
在操作期间,气体或气体混合物经由耦合到反应室310的一个或多个气体入口被引入到反应室310。在一些实施方案中,两个或更多个气体入口被耦合到反应室310。第一气体入口355可被耦合到反应室310并连接到容器350,第二气体入口365可以被耦合到反应室310并连接到远程等离子体源360。在包括远程等离子体配置的实施方案中,用于前体与在远程等离子体源中产生的自由基物质的输送管线是分离的。因此,前体和自由基物质在到达衬底330之前基本上不会进行相互作用。应理解,在一些实施方案中,可使气体管线颠倒,使得容器350可经由第二气体入口365而提供前体气体流,而远程等离子体源360可经由第一气体入口355而提供离子和自由基。
一种或多种自由基物质可在远程等离子体源360中产生并配置为经由第二气体入口365进入反应室310。任何类型的等离子体源可以在远程等离子体源360中使用以创建自由基物质。这包括但不限于电容耦合等离子体、电感耦合等离子体、微波等离子体、直流等离子体和激光生成的等离子体。电容耦合等离子体的示例可以是射频(RF)等离子体。高频等离子体可以配置以在13.56MHz或更高下来进行操作。这样的远程等离子体源360的示例可以是由Lam Research Corporation(Fremont,California)制造的这样的射频远程等离子体源360的另一示例可以是由马萨诸塞州威明顿的MKS Instruments制造的/>其可以在440kHz下操作,并且可以被提供作为螺栓固定到较大装置上的子单元,以用于并行处理一个或多个衬底。在一些实施方案中,微波等离子体可被用作远程等离子体源360,如/>其也由MKS Instruments制造。微波等离子体可被构造成在2.45GHz的频率下操作。提供给远程等离子体源的气体可以包括氢、氮、氧和如本文别处提及的其它气体。在一些实施方案中,氢是在载体(如氦)中提供。作为一个示例,氢气可以在氦载体中以约1-10%氢的浓度来提供。
前体可以在容器350中被提供,并且可以经由第一气体入口355被供给到喷头320。喷头320将前体朝向衬底330分配到反应室310。衬底330可以位于该喷头320下方。应该理解的是,喷头320可具有任何合适的形状,并且可以具有任何数量和布置的用于分配气体到衬底330的端口。前体可以以受控的流率被供给到喷头320并最终供给到衬底330。
在远程等离子源360形成的一种或多种自由基物质可以气相方式朝向衬底330运送。一种或多种自由基物质可以通过第二气体入口365流入到反应室310。应当理解的是,如图3所示,第二气体入口365不必横向于(transverser to)衬底330的表面。在一些实施方案中,所述第二气体入口365可在衬底330正上方或在其它位置。远程等离子体源360和反应室310之间的距离可以被配置为提供温和的反应条件,从而使得在远程等离子源360产生的离子化物质基本上是中性的,但处于基本上低能态下的至少一些自由基物质保持在邻近衬底330的环境中。这样的低能态的自由基物质不再重新组合以形成稳定的化合物。远程等离子体源360和反应室310之间的距离可以是等离子体的侵蚀性(例如,由源RF功率电平部分确定的)、等离子体中气体密度(例如,如果存在浓度高的氢原子,那么它们的相当大的部分可重组以在到达反应室310之前形成H2)、以及其他因素的函数。在一些实施方案中,远程等离子体源360和反应室310之间的距离可为介于约1cm至30cm之间,例如为约5cm或约15cm。
在一些实施方案中,不是主要的含硼前体或氢自由基的含碳前体在沉积反应期间引入。在一些实施方案中,装置被配置成通过第二气体入口365引入含氮等离子体物质,在这种情况下,含氮反应物至少部分转化成等离子体。在一些实现方式中,装置被配置成经由第一气体入口355通过喷头320引入含碳前体。
图4根据一些其他实施方案示出了具有远程等离子体源的示例性等离子体处理装置的示意图。等离子体处理装置400包含与反应室404分离的远程等离子体源402。远程等离子体源402经由多端口气体分配器406而与反应室404流体耦合,多端口气体分配器406也可被称为喷头。自由基物质产生于远程等离子体源402中并被供应至反应室404。将一或更多种含硼前体供应至远程等离子体源402和多端口气体分配器406的下游的反应室404。该一或更多种含硼前体与自由基物质在反应室404的化学气相沉积区域408中进行反应,以在衬底412的表面上沉积含硼膜。化学气相沉积区域408包含邻近衬底412的表面的环境。
衬底412被支撑在衬底支撑件或基座414上。基座414可在反应室404内移动,以将衬底412定位于化学气相沉积区域408内。在图4所示的实施方案中,基座414示出了已在化学气相沉积区域408内将衬底412升高。在一些实施方案中,基座414也可调整衬底412的温度,基座414可提供对衬底412上的热活化表面反应的一些选择性控制。
图4示出了设置在远程等离子体源402周围的线圈418,其中远程等离子体源402包含外壁(例如石英圆顶)。线圈418电耦合至等离子体产生器控制器422,其可用于通过感应耦合等离子体生成而在等离子体区域424内形成并维持等离子体。在一些实施方案中,等离子体产生器控制器422可包含供应功率至线圈418的电源,其中在等离子体产生期间功率可在约1至6千瓦(kW)之间的范围内。在一些实施方案中,用于平行板或电容耦合等离子体生成的电极或天线可用于通过等离子体激发而产生自由基的连续供应,而非使用感应耦合等离子体生成。无论用于在等离子体区域424内点燃和维持等离子体的机制为何,可在膜沉积期间利用等离子体激励而连续地产生自由基物质。在一些实施方案中,在稳态膜沉积期间在近似稳态条件下产生氢自由基,但在膜沉积开始和结束时可能发生瞬变。
当氢气或其他源气体被供应至远程等离子体源402时,可在等离子体区域424内连续地产生氢自由基的供应。可在远程等离子体源402中产生经激发的氢自由基。如果未重新激发或重新供应能量或与其他自由基重新结合,则经激发的氢自由基失去其能量或弛豫。因此,经激发的氢自由基可弛豫以形成处于基本低能态或基态的氢自由基。
可使用一或更多种额外气体将氢气或其他源气体稀释。该一或更多种额外气体可被供应至远程等离子体源402。在一些实施方案中,使氢气或其他源气体与一或更多种额外气体进行混合以形成气体混合物,其中该一或更多种额外气体可包含载气。额外气体的非限制性示例可包含氦(He)、氖(Ne)、氩(Ar)、氪(Kr)、氙(Xe)、及氮(N2)。该一或更多种额外气体可支持或稳定远程等离子体源402内的稳态等离子体状态、或有助于瞬时等离子体的引燃或熄灭程序。在一些实施方案中,使用例如氦将氢气或其他源气体稀释可促成更高的总压力而不会造成伴随的等离子体击穿(plasma breakdown)。换言之,氢气与氦的稀释气体混合物可促成更高的总气体压力,而不会使供应至远程等离子体源402的等离子体功率增加。如图4所示,源气体供应源426与远程等离子体源402流体耦合,以供应氢气或源气体。此外,额外的气体供应源428与远程等离子体源402流体耦合,以供应一或更多种额外气体。该一或更多种额外气体也可包含上述的共反应物气体。虽然图4的实施方案描绘了源气体和一或更多种额外气体的气体混合物经由分离的气体出口而引入,但应理解,可将该气体混合物直接引入至远程等离子体源402中。即,可经由单一气体出口将预混合的稀释气体混合物供应至远程等离子体源402。
气体(例如经激发的氢与氦自由基以及弛豫的气体/自由基)从远程等离子体源402流出,并且经由多端口气体分配器406而流入反应室404。在多端口气体分配器406内以及反应室404内的气体通常不会在其内经受连续的等离子体激发。在一些实施方案中,多端口气体分配器406包含离子过滤器和/或光子过滤器。将离子和/或光子过滤可减少衬底的损害、非期望的分子再激发、和/或反应室404内的含硼前体的选择性裂解或分解。多端口气体分配器406可具有多个气体端口434,以使气体流扩散至反应室404中。在一些实施方案中,多个气体端口434可相互隔开。在一些实施方案中,可将多个气体端口434设置成规则间隔的通道或通孔的阵列,这些通道或通孔延伸穿过将远程等离子体源402与反应室404分隔的板。多个气体端口434可将从远程等离子体源402离开的自由基平稳地分散和扩散至反应室404中。
典型的远程等离子体源与反应容器相隔较远。因此,自由基消灭和重组(例如通过壁碰撞事件)会使活性物质大幅减少。相对地,在一些实施方案中,可基于在典型处理条件下的平均自由程或气流驻留时间而配置多个气体端口434的尺寸,以协助自由基自由地进入反应室404。在一些实施方案中,多个气体端口434的开口可占据多端口气体分配器406的介于约5%和约20%之间的暴露表面积。在一些实施方案中,多个气体端口434可各自具有介于约3:1和10:1之间、或介于约6:1和8:1之间的轴向长度比直径的比率。这种深宽比可使通过多个气体端口434的自由基物质的壁碰撞频率降低,同时为大多数激发态自由基物质提供足够的时间以弛豫为基态自由基物质。在一些实施方案中,可配置多个气体端口434的尺寸以使得气体通过多端口气体分配器406的驻留时间大于激发态自由基物质一般的能量弛豫时间。氢源气体的激发态自由基物质在图4中可由·H*表示,而氢源气体的基态自由基物质在图4中可由·H表示。
在一些实施方案中,离开多个气体端口434的激发态自由基物质可流入弛豫区域438中,弛豫区域438被包含在反应室404的内部中。弛豫区域438位于化学气相沉积区域408的上游,但在多端口气体分配器406的下游。离开多端口气体分配器406的基本上所有或至少90%的激发态自由基物质会在弛豫区域438中转变成弛豫态自由基物质。换言之,几乎所有进入弛豫区域438的激发态自由基物质(例如经激发的氢自由基)在离开弛豫区域438之前变得去激发(de-excited)、或转变成弛豫态自由基物质(例如基态氢自由基)。在一些实施方案中,可配置弛豫区域438的工艺条件或几何形状,使得自由基物质流过弛豫区域438的驻留时间(例如由平均自由径及平均分子速度所决定的时间)引致弛豫态自由基物质自弛豫区域438流出。
随着自由基物质从多端口气体分配器406输送至弛豫区域438,可将一或更多种含硼前体和/或一或更多种含碳前体引入化学气相沉积区域408中。可通过气体分配器或气体出口442将一或更多种含硼前体引入,其中气体出口442可与前体供应源440流体耦合。弛豫区域438可包含在多端口气体分配器406与气体出口442之间的空间内。气体出口442可包含彼此间隔开的开口,使得可在与从弛豫区域438流出的气体混合物平行的方向上引入一或更多种含硼前体流。气体出口442可位于多端口气体分配器406和弛豫区域438的下游。气体出口442可位于化学气相沉积区域408和衬底412的上游。化学气相沉积区域408位于反应室404的内部中,并且位于气体出口442与衬底412之间。
可防止基本上所有的一或更多种含硼前体流与邻近多端口气体分配器406的激发态自由基物质相混合。弛豫态或基态的自由基物质在邻近衬底412的区域中与一或更多种含硼前体混合。化学气相沉积区域408包含邻近衬底412的区域,在该区域中弛豫态或基态自由基物质与一或更多种含硼前体混合。在含硼膜的CVD形成期间,弛豫态或基态自由基物质与一或更多种含硼前体以气相混合。
在一些实施方案中,可将含碳前体从气体出口442导入并与一或更多种含硼前体一起流动。含碳前体可于远程等离子体源402的下游导入。含碳前体可从前体供应源440或流体地耦合至气体出口442的其他源(未示出)来供应。含碳前体可为具有一或更多碳-碳双键或三键的烃分子。在一些实施方案中,可将含氮等离子体物质从多端口气体分配器406导入,并与远程等离子体源402中产生的氢自由基物质一起流动并流入反应室404。这可包括在远程等离子体源402中所提供的含氮反应物的自由基和/或离子。可从额外的气体供应源428供应含氮反应物或任何其他的共反应物。
气体出口442可与多端口气体分配器406分离足够的距离,以防止一或更多种含硼前体的反向扩散或反向流。在一些实施方案中,气体出口442可与多个气体端口434分离如下距离:介于约0.5英寸至约5英寸之间、或介于约1.5英寸至约4.5英寸之间、或介于约1.5英寸至约3英寸之间的距离。
可经由出口448而将工艺气体从反应室404中去除,出口448被配置成与泵(未图示)流体耦合。因此,可将过量的含硼前体、含碳前体、自由基物质以及稀释与置换或清扫气体从反应室404中去除。在一些实施方案中,系统控制器450与等离子体处理装置400进行操作性通信。在一些实施方案中,系统控制器450包含处理器系统452(例如微处理器),其被配置成执行保存于数据系统454(例如存储器)中的指令。在一些实施方案中,系统控制器450可与等离子体产生器控制器422进行通信以控制等离子体参数和/或条件。在一些实施方案中,系统控制器450可与基座414进行通信以控制基座高度和温度。在一些实施方案中,系统控制器450可控制其他处理条件,例如RF功率设置、频率设置、工作周期、脉冲时间、反应室404内的压力、远程等离子体源402内的压力、来自源气体供应源426和额外的气体供应源428的气体流率、来自前体供应源440和其他源的气体流率、基座414的温度、以及反应室404的温度等。
下述图4的控制器450的方面也适用于图3的控制器340。控制器450可包含用于控制等离子体处理装置400的操作的工艺条件的指令。控制器450会通常包括一个或多个存储器设备和一个或多个处理器。所述处理器可以包括CPU或计算机、模拟和/或数字输入/输出连接、步进电机控制器板等。用于实现适当的控制操作的指令是在处理器上执行。这些指令可以存储在与控制器450相关联的存储器设备,或者它们可以通过网络来提供。
在一些实施方案中,控制器450控制本文描述的等离子体处理装置400的所有的或大多数的活动。例如,控制器450可控制等离子体处理装置400的与沉积含硼膜以及任选地在包含含硼膜的制造流程中的其他操作相关联的所有的或大多数的活动。控制器450可以执行包括成组指令的系统控制软件,成组指令用于控制定时、气体组成、气体流率、室压强、室温度、RF功率水平、衬底位置、和/或其他参数。在一些实施例中可以采用存储在与控制器450相关联的存储器设备上的其它计算机程序、脚本或程序。为了在邻近衬底412的环境提供相对温和的反应条件,参数(如RF功率电平、流到等离子体区域424的气体流率、流到化学气相沉积区域408的气体流率、以及等离子体点火的定时)可以通过控制器450调整和维持。另外,调整衬底位置可以进一步减少在邻近衬底412的环境中高能自由基物质的存在。在多站式反应器中,控制器450可包括用于不同装置站的不同或相同的指令,从而使装置站能独立或同步操作。
在一些实施方案中,控制器450可包含用于进行例如下列操作的指令:使一或更多种含硼前体经由气体出口442而流至反应室404中、提供源气体至远程等离子体源402中、在远程等离子体源402中产生该源气体的一或更多自由基物质、从远程等离子体源402将处于基本上低能态的一或更多种自由基物质引入至反应室404中以与一或更多种含硼前体反应而在衬底412上沉积含硼膜。反应室404中在邻近衬底412的环境中的一或更多种自由基物质可以是处于基态的氢自由基。在一些实施方案中,控制器450可包含使含碳前体与一或更多种含硼前体一起流至反应室404中的指令。在一些实施方案中,源气体可包括例如氮气或氨之类的含氮反应物。
在一些实施方案中,装置400可以包括与控制器450相关联的用户界面。用户界面可以包括显示屏、装置400和/或工艺条件的图形软件显示、以及用户输入设备,诸如定点设备,键盘,触摸屏,麦克风等。
用于控制上述操作的计算机程序代码可以用任何常规的计算机可读编程语言编写:例如,汇编语言、C、C++、Pascal、Fortran或其它。编译的对象代码或脚本由处理器执行,以执行程序中识别的任务。
用于监控工艺的信号可以由系统控制器的模拟和/或数字输入连接来提供。用于控制工艺的信号是在处理系统的模拟和数字输出连接上的输出。
通常,本文所述的方法能够在包括半导体处理设备的系统上执行,半导体处理设备如一个或多个处理工具、一个或多个室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。通常,电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、RF发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
宽泛地讲,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)与控制器通信、定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数的指令。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料(例如硼氮化物、硼碳化物或硼碳氮化物)、表面、电路和/或管芯期间完成一个或多个加工步骤的配方(recipe)的一部分。
在一些实施方案中,控制器可以是计算机的一部分或者与该计算机耦接,该计算机与系统集成、耦接到系统、或者通过网络连接到系统、或这些的组合。例如,控制器可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机通信到系统。在一些实例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室内的一个或多个集成电路,它们结合以控制室内的工艺。
除了本文所描述的含硼膜沉积之外,示例的系统还可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洁室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器搬运到半导体制造工厂中的工具位置和/或装载口以及从工具位置和/或装载口搬运晶片的容器的材料搬运中使用的工具通信。
上文所述的装置/工艺可以与光刻图案化工具或工艺结合使用,例如,用于制备或制造半导体设备、显示器、LED、光伏电池板等。通常,虽然不是必然地,这些工具/工艺将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包含以下操作中的一些或所有,每个操作启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上施加光致抗蚀剂;(2)使用热板或加热炉或UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进机之类的工具使光致抗蚀剂暴露于可见光或紫外线或x-射线灯;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式工作台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
沉积膜的结构、组成和属性
许多包括硼氮化物、硼碳化物、和硼碳氮化物膜的含硼膜使用PECVD或热CVD工艺沉积。然而,这些膜的属性可能尤其不具有期望的介电常数、台阶覆盖率、蚀刻选择性、化学稳定性、和热稳定性等属性。例如,在沉积硼氮化物或硼碳氮化物膜中的PECVD工艺会导致具有高NH含量的膜。高NH含量可能对膜的机械性能产生不利影响,例如膜的硬度和杨氏模数和蚀刻敏感性。而且,在沉积硼碳化物或硼碳氮化物膜中的PECVD工艺可能导致具有大量C-C键、C-N键、或N-N键的膜。大量的C-C键、C-N键、或N-N键可能导致所述膜内的性能不一致,因为所述膜可能不具有规则的化学结构。例如,具有更多B-C和B-N键的膜的区域可与具有更多C-C、C-N、或N-N键的膜的区域不同地表现。更多的B-C和B-N键可提供更高的杨氏模数和不同的化学反应性(例如蚀刻速率)。本公开内容有关使用远程等离子体CVD沉积含硼膜。含硼膜可不具有或基本上不具有C-C键。另外,在一些实施方案中,含硼膜可不具有或基本上不具有C-N键,且不具有或基本上不具有N-N键。
图5显示了用于远程等离子体CVD的硼碳氮化物膜的FTIR光谱的曲线图,该远程等离子体CVD使用了含硼前体、含碳前体、和远程氢等离子体。含硼前体包括硼烷前体,且含碳前体包括具有一或更多个碳-碳双键或三键的烃分子。远程氢等离子体包括含氮反应物的源气体和氢气(H2),以产生氢和氮的自由基。如图5中的FTIR光谱中所示的,在硼碳氮化物膜中存在B-H键、B-N键、和B-C键。然而,硼碳氮化物膜中不存在C-C、C-N、和N-N键。这是通过图6中的X射线光电子能谱(XPS)数据所证实。图6显示了用于硼碳氮化物薄膜的B1s、C 1s和N1s用的XPS数据的曲线图。用于B1s的XPS数据显示了在指示B-C键的结合能处的峰值。用于C1s的XPS数据显示在指示B-C键但不是C-C键、C-N键、或C-O键的结合能处的峰值。用于N1s的XPS数据显示了指示B-N键但不是N-C键或N-O键的峰值。
表1显示了通过远程等离子体CVD工艺所生产的硼碳氮化物膜的多种属性,所述远程等离子体CVD工艺导致不同的硼碳氮化物膜组成。每一种硼碳氮化物膜的硼原子浓度都大于50%。每一种硼碳氮化物膜的膜密度均大于1.60g/cm3,而富氢远程等离子体CVD工艺生产大于1.70g/cm3的致密膜。每一种硼碳氮化物膜的杨氏模数均大于130GPa,而富氢远程等离子体CVD工艺生产杨氏模数大于150GPa的膜。每一种硼碳氮化物膜具有相当低的固有应力值,没有太多的压缩应力,也没有太大的拉伸应力。具体地说,硼碳氮化物膜的固有应力值在-120MPa至120MPa之间。
表1
本公开内容的工艺条件可提供具有期望的机械性能的含硼膜。含硼膜可具有足够高的杨氏模数值,而不具有过高的压缩或拉伸的固有应力值。在一些实施方案中,含硼膜可具有带有一或更多个B-C和/或B-N键的组成。含硼膜可不具有或基本上不具有C-C键、C-N键和N-N键。C-C、C-N或N-N键会对含硼膜的杨氏模数具有不利的影响。在一些实施方案中,含硼膜中的C-C键、C-N键和N-N键的百分比等于或小于约2%、等于或小于约1%、等于或小于约0.5%、或甚至是0%。在一些实施方案中,含硼膜的杨氏模数值等于或大于约130GPa、或等于或大于约150GPa。在一些实施方案中,含硼膜具有约-120MPa与约120MPa之间、或约-75MPa与约75MPa之间的固有应力值。
图7显示了使用含硼前体、含碳前体和带有载体气体的远端氢等离子体沉积于衬底特征上的硼碳氮化物薄膜的TEM图像。含硼前体为硼烷前体,而含碳前体为具有一或更多个碳-碳双键或三键的烃分子,其提供在远程等离子体的下游。远程氢等离子体包括氢自由基和氮自由基。载体气体与源气体一起流动。在一些实施方案中,没有载体气体与源气体一起流动。沉积在衬底特征上的硼碳氮化物膜具有至少95%的台阶覆盖率,其中衬底特征具有7:1的高度对深度的深宽比。
硼氮化物、硼碳化物、和硼碳氮化物膜会具有独特的蚀刻性能和/或选择性。硼氮化物、硼碳化物、和硼碳氮化物膜可能能够在某些蚀刻化学条件下蚀刻,而在其他蚀刻化学条件下具有抗蚀刻性。在一些实施方案中,硼氮化物、硼碳化物、和硼碳氮化物膜可具有不同的蚀刻属性,具体取决于膜是否已氧化。
沉积的膜将包含硼,且在一些案例中,将包含氮、碳和/或一或更多其他元素。在一些实施方案中,硼的原子浓度介于约30%至约75%之间、或介于约35%至约70%之间。在一些实施方案中,碳的原子浓度介于约10%至约50%之间、或介于约15%至约45%之间。在一些实施方案中,氮的原子浓度介于约3%至约25%之间、或介于约5%至约20%之间。在所有案例中,所述膜可含有一些氢。然而,应理解,氢的相对原子浓度将是小的。在一些实施方案中,氢的原子浓度小于约25%、介于约2%至约20%之间、或介于约5%至约15%之间。在一示例中,硼碳氮化物膜含有约50-60%的硼、约15-25%的碳、约5-10%的氮、和约5-15%的氢。应理解,相对原子浓度可根据前体的选择而变动。
硼原子将与碳和/或氮原子形成键。碳原子将不会与其他碳原子或氮原子形成键,且氮原子将不会与其他氮原子或碳原子形成键。在一些实施方案中,所沉积的膜含有比B-N键更多的B-C键。这可提供具有低介电常数的膜。这也可提供具有高杨氏模数的膜。在一些示例中,沉积的膜含有介于约1:1至3:1之间的B-C键比B-N键的比率。在某些实施方案中,膜密度介于约1.5至2.5g/cm3之间。
本文稍早所叙述的工艺条件可提供高度保形的膜结构。相对温和的工艺条件可使衬底表面的离子轰击程度最小化,以致使沉积缺乏方向性。另外,相对温和的工艺条件可减少具有高粘附系数的自由基的数量,所述自由基将具有倾向粘附至先前沉积的层或膜的侧壁的趋势。可通过将特征的底部、侧壁、或顶部上的沉积膜的平均厚度与特征的底部、侧壁、或顶部上的沉积膜的平均厚度进行比较来计算保形性。例如,可通过将侧壁上的沉积膜的平均厚度除以在特征的顶部的沉积膜的平均厚度并将其乘以100以获得百分比来计算保形性。如本文所使用的“特征”可意指衬底上的非平面式结构,典型为在半导体设备制造操作中所修饰的表面。特征的示例包含沟槽、通孔、衬里、柱体、圆顶等。特征通常具有一定深宽比(深度或高度比宽度)。在某些实施方案中,对于具有约2:1或更大的深宽比的特征,能以介于约50%至100%之间、更典型地介于约80%至100%之间、且甚至更典型地介于约90%至100%之间的保形性来沉积含硼膜。例如,在约5:1至约10:1之间的特征上的硼碳氮化物膜可具有至少95%的保形性。
工艺条件还可提供具有低介电常数的膜结构。含硼膜可为由大多数的B-C键和/或B-N键与有限或无数量的C-C键、C-N键、或N-N键所形成。这可提供改善的电气和机械性能,同时保持相对低的介电常数。在多种实施方案中,含硼膜具有约5.0或更低、约4.0或更低、约3.5或更低、或约3.0或更低的有效介电常数。在一些实施方案中,含硼膜具有介于约2.0与约5.0之间的有效介电常数。
应用
本公开可以通过参考针对高品质的含硼膜的以下应用被进一步理解,其应用意指纯粹是示例性的。本发明在范围上不受具体应用限制,其仅仅是本公开内容的方面的简单说明。
在一些实施方案中,可在暴露的铜上方沉积含硼膜。在沉积含硼膜的一些实施方案中,邻近衬底的反应条件可以不含氧化剂、例如不含O2、O3和CO2,包括不含其自由基。因此,可将含硼膜直接地沉积于暴露的铜上方而不氧化铜(例如,产生氧化铜)。这样的含硼膜可用作蚀刻停止层,其也可用作铜扩散阻挡。含硼膜的存在可提供足够低的介电常数,以及优异的泄漏属性,以用作扩散阻挡层。在一些实施方案中,可将含硼膜置于通常通过镶嵌工艺所生产的邻近的金属化层之间。含硼膜可抵抗蚀刻并可以足够致密,以使铜离子的扩散进入介电材料的邻近区域最小化。含硼膜可用作封盖材料以包封铜,并且可对铜或铜合金表面具有优异的粘附性。
在如图1B中所示的一些实施方案中,含硼膜111可以保形地沉积在衬底110的特征112上。特征112可以是孤立或密集的特征,其中特征112可具有相对小的关键尺寸(CD)。在一些实施方案中,特征可具有等于或小于约20nm、等于或小于约10nm、或者等于或小于约5nm的CD。特征112的高度与宽度的深宽比可为大于2:1、大于5:1、大于10:1、或大于20:1。沉积于特征112上的含硼膜111的台阶覆盖率为至少80%、至少85%、至少90%、或至少95%。
在一些实施方案中,可将含硼膜沉积为邻近金属或半导体结构的竖直结构。硼氮化物、硼碳化物、或硼碳氮化物的沉积沿着金属或半导体结构的侧壁提供优异的台阶覆盖率,以产生竖直结构。于某些实施方案中,竖直结构可称为间隔物或衬里。硼氮化物、硼碳化物、和硼碳氮化物竖直结构可用作具有高模数和低介电常数的可灰化的保形硬掩模。因此,含硼膜可在诸多应用中用作低介电常数的间隔物或衬里。
图1C示出沉积在晶体管的栅极电极结构的侧壁上的含硼衬里121的横截面。如图1C中所示,晶体管可以是具有硅衬底120的CMOS晶体管,硅衬底120具有源极122和漏极123。栅极电介质124可被沉积在硅衬底120上,栅极电极125可以沉积在栅极电介质124上以形成晶体管。掺杂氧的碳化硅间隔物或衬里121可沉积在栅极电极125和栅极电介质124的侧壁上。
在另一实例中,图1D示出了沉积在空气间隙型金属化层中的暴露的铜线的侧壁上的含硼膜的横截面。空气间隙130可以被引入到铜线132之间的集成电路层中,从而可降低该层的有效k值。含硼衬里131可以沉积在铜线132的侧壁上,非保形的电介质层133可沉积在空气间隙130、衬里131、和铜线132上。这样的空气间隙型金属化层的例子可在Fei Wang等人的美国专利申请公开No.2004/0232552中描述,该美国专利公开以引用的方式被整体并入本文且用于所有目的。
在一些实施方案中,掺杂氧的碳化硅膜可以沉积在图案化多孔电介质材料的侧壁上。超低k电介质材料可以由多孔结构制成。在这样的材料中的孔可以在后续层的沉积期间提供金属的入口区域,包括含有金属如钽(Ta)的扩散阻挡层的沉积。如果太多的金属迁移到介电材料中,则该介电材料可提供相邻的铜金属化线之间的短路。因此,含硼膜不仅可用作阻挡层、蚀刻停止层、包封层、可灰化的保形硬掩模、间隔物、衬里,而且含硼膜可用作孔隙密封剂。
图1E说明了作为用于多孔介电材料的孔隙密封剂的含硼膜的截面。多孔介电层142可具有切入多孔介电层142的多个沟槽或通孔以形成孔隙140。含硼膜141可沿着孔隙140沉积以有效地密封孔隙140。以含硼膜141密封孔隙140可避免损坏多孔介电层142,否则可能通过使用等离子体的其他密封技术造成损坏。含硼膜141可以是足够致密的以作为孔隙密封剂。在一些实施方案中,被蚀刻的电介质材料例如多孔电介质层142可以首先通过“k-恢复”工艺进行处理,其将多孔电介质层142暴露于UV辐射和还原剂。该恢复工艺在Varadarajan等人的共同拥有的美国专利申请公开No.2011/0111533中被进一步描述,该美国专利公开通过引用的方式被整体并入本文以用于所有目的。在另一个“k-恢复”工艺中,多孔电介质层142可暴露于UV辐射和化学硅烷基化剂。该恢复工艺在Varadarajan等人的共同拥有的美国专利申请公开No.2011/0117678中被进一步描述,该美国专利公开通过引用的方式被整体并入本文并且用于所有目的。在将孔140暴露于恢复处理,从而使得表面更具亲水性并提供了材料单层之后,共形地沉积的含硼膜141的层可以被沉积以有效地密封多孔电介质层142的孔。
结论
在以上的描述中,说明了大量的特定细节,以提供对所提出的实施方案的彻底理解。所公开的实施方案可在没有这些特定细节中的一些或全部的情况下实行。在其他的示例中,为了不使本发明难以理解,公知的工艺操作不会有详细描述。虽然所公开的实施方案是结合特定实施方案而进行说明的,但应理解,其并非意图限制所公开的实施方案。
虽然上述的实施方案为了清楚和理解的目的进行了详细描述,但显而易见的是,一些变化和修改可在所附权利要求的范围内实施。应当注意,存在实现所提供的实施方案的工艺、系统和装置的许多替代方式。因此,所描述的实施例应被认为是说明性的而不是限制性的,并且所述实施方案不应受限于本文所给出的细节。

Claims (20)

1.一种在衬底上沉积含硼膜的方法,所述方法包括:
使含硼前体流入反应室朝向所述衬底,其中所述含硼前体具有一或更多个B-H键;
在远程等离子体源中产生氢自由基,其中所述氢自由基在所述含硼前体的上游产生;以及
将所述氢自由基引入所述反应室并且朝向所述衬底,其中所述氢自由基与所述含硼前体反应,以在所述衬底上沉积含硼膜。
2.根据权利要求1所述的方法,还包括:
将含碳前体与所述含硼前体一起同流入所述反应室,其中所述氢自由基与所述含硼前体和所述含碳前体反应,以沉积所述含硼膜。
3.根据权利要求2所述的方法,其中所述含碳前体为具有至少碳-碳双键或碳-碳三键的烃分子。
4.根据权利要求2所述的方法,其中所述含碳前体包括丙烯、乙烯、丁烯、戊烯、丁二烯、戊二烯、己二烯、庚二烯、甲苯、苯、乙炔、丙炔、丁炔、戊炔、或己炔。
5.根据权利要求1所述的方法,其中所述含硼膜包括硼氮化物、硼碳氮化物或硼碳化物。
6.根据权利要求1所述的方法,其中所述含硼前体包括硼烷。
7.根据权利要求1所述的方法,还包括:
在所述远程等离子体源中随着所述氢自由基的产生,产生氮自由基;以及
将所述氮自由基随着所述氢自由基一起导入所述反应室并且朝向所述衬底,以与所述含硼前体反应。
8.根据权利要求7所述的方法,其中所述氮自由基由包括氮(N2)或氨(NH3)的源气体产生。
9.根据权利要求7所述的方法,其中,在与所述含硼前体反应之前,所述氮自由基和所述氢自由基流入邻近所述衬底的环境中。
10.根据权利要求9所述的方法,其中在邻近所述衬底的环境中的氢自由基处于基态中,以与所述含硼前体反应。
11.根据权利要求1所述的方法,其中所述衬底包括一或多个凹陷特征,并且所述一或多个特征中的所述含硼膜具有至少95%的保形性。
12.根据权利要求1所述的方法,其中所述氢自由基通过喷头被引入所述反应室,其中所述含硼前体通过位于所述气体分配器下游的一或多个气体出口流入所述反应室。
13.一种用于在衬底上沉积含硼膜的设备,所述设备包括:
处理室,其包括配置成支撑所述衬底的衬底支撑件;
远程等离子体源,其与所述处理室分开,其中所述远程等离子体源通过气体分配器流体耦合到所述处理室;
一或多个气体出口,其配置为将处理气体输送到所述处理室中,其中所述一或多个气体出口位于所述气体分配器的下游;以及
控制器,其配置有执行以下操作的指令:
通过所述一或多个气体出口使含硼前体流入所述处理室并朝向所述衬底,其中所述含硼前体具有一或更多个B-H键;
在所述远程等离子体源中产生氢自由基;以及
通过所述气体分配器将所述氢自由基引入所述处理室并且朝向所述衬底,其中所述氢自由基与所述含硼前体反应,以在所述衬底上沉积所述含硼膜。
14.根据权利要求13所述的设备,其中所述控制器还配置有执行以下操作的指令:
通过所述一或多个气体出口将含碳前体与所述含硼前体一起同流入所述反应室。
15.根据权利要求14所述的设备,其中所述含碳前体为具有至少碳-碳双键或碳-碳三键的烃分子。
16.根据权利要求13所述的设备,其中所述处理室的内部包括弛豫区域和所述弛豫区域下游的化学气相沉积区域,其中所述氢自由基在所述弛豫区域进入所述处理室,并且在所述弛豫区域从激发态氢自由基转变成基态氢自由基,并且所述含硼前体在所述化学气相沉积区域流入所述处理室,并在所述化学气相沉积区域与所述基态氢自由基反应。
17.根据权利要求16所述的设备,其中所述一或多个气体出口位于所述化学气相沉积区域和所述弛豫区域之间。
18.根据权利要求13所述的设备,其中所述含硼膜包括硼氮化物、硼碳氮化物或硼碳化物。
19.根据权利要求13所述的设备,其中所述含硼前体包括硼烷。
20.根据权利要求13所述的设备,其中所述控制器还配置有执行以下操作的指令:
在所述远程等离子体源中随着所述氢自由基的产生,产生氮自由基;以及
通过所述气体分配器将所述氮自由基引入所述反应室并且朝向所述衬底,其中所述氮自由基与所述氢自由基一起与所述含硼前体反应。
CN202311648018.8A 2018-07-20 2019-07-18 一种在衬底上沉积含硼膜的方法和设备 Pending CN117888078A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US16/041,153 2018-07-20
US16/041,153 US10840087B2 (en) 2018-07-20 2018-07-20 Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
CN201980058950.0A CN112673123B (zh) 2018-07-20 2019-07-18 基于远程等离子体的硼氮化物、硼碳化物和硼碳氮化物膜的沉积
PCT/US2019/042413 WO2020018796A1 (en) 2018-07-20 2019-07-18 Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201980058950.0A Division CN112673123B (zh) 2018-07-20 2019-07-18 基于远程等离子体的硼氮化物、硼碳化物和硼碳氮化物膜的沉积

Publications (1)

Publication Number Publication Date
CN117888078A true CN117888078A (zh) 2024-04-16

Family

ID=69163073

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201980058950.0A Active CN112673123B (zh) 2018-07-20 2019-07-18 基于远程等离子体的硼氮化物、硼碳化物和硼碳氮化物膜的沉积
CN202311648018.8A Pending CN117888078A (zh) 2018-07-20 2019-07-18 一种在衬底上沉积含硼膜的方法和设备

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201980058950.0A Active CN112673123B (zh) 2018-07-20 2019-07-18 基于远程等离子体的硼氮化物、硼碳化物和硼碳氮化物膜的沉积

Country Status (5)

Country Link
US (1) US10840087B2 (zh)
KR (1) KR20210024656A (zh)
CN (2) CN112673123B (zh)
TW (1) TW202018114A (zh)
WO (1) WO2020018796A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
CN113195786A (zh) 2018-10-19 2021-07-30 朗姆研究公司 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积
JP7125749B2 (ja) * 2018-10-29 2022-08-25 株式会社日立ハイテクサイエンス 荷電粒子ビーム装置
KR20220157488A (ko) * 2020-03-26 2022-11-29 어플라이드 머티어리얼스, 인코포레이티드 붕소 및 탄소 막들의 촉매 형성
US11495454B2 (en) 2020-08-07 2022-11-08 Applied Materials, Inc. Deposition of low-stress boron-containing layers
US20230090280A1 (en) * 2021-09-23 2023-03-23 Applied Materials, Inc. Selective graphene deposition
SE2250842A1 (en) * 2022-07-04 2024-01-05 Canatu Oy A method for operating a chemical vapor deposition process

Family Cites Families (163)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3811567A1 (de) 1988-04-07 1989-10-19 Wacker Chemie Gmbh Verfahren zur herstellung von organopolysilanen
US5122431A (en) 1988-09-14 1992-06-16 Fujitsu Limited Thin film formation apparatus
JPH05326452A (ja) 1991-06-10 1993-12-10 Kawasaki Steel Corp プラズマ処理装置及び方法
FR2713666B1 (fr) 1993-12-15 1996-01-12 Air Liquide Procédé et dispositif de dépôt à basse température d'un film contenant du silicium sur un substrat métallique.
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3164019B2 (ja) 1997-05-21 2001-05-08 日本電気株式会社 酸化シリコン膜およびその形成方法と成膜装置
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US7354873B2 (en) 1998-02-05 2008-04-08 Asm Japan K.K. Method for forming insulation film
JP4151862B2 (ja) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6262445B1 (en) 1998-03-30 2001-07-17 Texas Instruments Incorporated SiC sidewall process
US6846391B1 (en) 1998-04-01 2005-01-25 Novellus Systems Process for depositing F-doped silica glass in high aspect ratio structures
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
CA2375138A1 (en) 1999-06-03 2000-12-14 The Penn State Research Foundation Deposited thin film void-column network materials
DE69940114D1 (de) 1999-08-17 2009-01-29 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten SiO2-Filmen zur Erhöhung der Stabilität während der O2-Veraschung
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6365527B1 (en) 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices
US6448186B1 (en) 2000-10-06 2002-09-10 Novellus Systems, Inc. Method and apparatus for use of hydrogen and silanes in plasma
US6576345B1 (en) 2000-11-30 2003-06-10 Novellus Systems Inc Dielectric films with low dielectric constants
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6936533B2 (en) 2000-12-08 2005-08-30 Samsung Electronics, Co., Ltd. Method of fabricating semiconductor devices having low dielectric interlayer insulation layer
WO2003015129A2 (en) 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
KR20060097768A (ko) 2001-08-30 2006-09-15 동경 엘렉트론 주식회사 성막 방법 및 성막 장치
CA2460892A1 (en) 2001-09-18 2003-03-27 Pro-Corp Holdings International Limited Image recognition inventory management system
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6818570B2 (en) 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US20030194496A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
TWI289491B (en) 2002-04-16 2007-11-11 Tadatomo Suga Reflow soldering method
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US20060014384A1 (en) 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
JP4066332B2 (ja) 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4109531B2 (ja) 2002-10-25 2008-07-02 松下電器産業株式会社 半導体装置及びその製造方法
US7485570B2 (en) 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
DE10250889B4 (de) 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
US20040084774A1 (en) 2002-11-02 2004-05-06 Bo Li Gas layer formation materials
US20040232552A1 (en) 2002-12-09 2004-11-25 Advanced Micro Devices, Inc. Air gap dual damascene process and structure
US6825130B2 (en) 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US7365029B2 (en) 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US6790788B2 (en) 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7238393B2 (en) 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
JP3966249B2 (ja) 2003-07-30 2007-08-29 日産自動車株式会社 半導体装置及び半導体装置の製造方法
US6849561B1 (en) 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
US20050100682A1 (en) 2003-11-06 2005-05-12 Tokyo Electron Limited Method for depositing materials on a substrate
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7102232B2 (en) 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
US7067409B2 (en) 2004-05-10 2006-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
JP2006013190A (ja) 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
KR101210859B1 (ko) 2004-08-18 2012-12-11 다우 코닝 코포레이션 피복 기판 및 이의 제조방법
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7166544B2 (en) 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
GB0423685D0 (en) 2004-10-26 2004-11-24 Dow Corning Ireland Ltd Improved method for coating a substrate
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
JP5232991B2 (ja) 2004-11-29 2013-07-10 国立大学法人東京農工大学 シリコンナノ線状体の製造方法およびシリコンナノ線状体
US7259111B2 (en) 2005-01-19 2007-08-21 Applied Materials, Inc. Interface engineering to improve adhesion between low k stacks
US7189658B2 (en) 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
US7972910B2 (en) * 2005-06-03 2011-07-05 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of integrated circuit device including thin film transistor
JP2007053133A (ja) 2005-08-15 2007-03-01 Toshiba Corp 半導体装置及びその製造方法
US8021992B2 (en) 2005-09-01 2011-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. High aspect ratio gap fill application using high density plasma chemical vapor deposition
US7718518B2 (en) 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
US20070173071A1 (en) 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
WO2007099428A1 (en) 2006-02-28 2007-09-07 Stmicroelectronics (Crolles 2) Sas Metal interconnects in a dielectric material
JP5040913B2 (ja) 2006-03-31 2012-10-03 富士通セミコンダクター株式会社 半導体装置の製造方法
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20080064173A1 (en) 2006-09-08 2008-03-13 United Microelectronics Corp. Semiconductor device, cmos device and fabricating methods of the same
US8053372B1 (en) 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
DE102006058771B4 (de) 2006-12-12 2018-03-01 Schott Ag Behälter mit verbesserter Restentleerbarkeit und Verfahren zu dessen Herstellung
US20080156264A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
JP5140290B2 (ja) 2007-03-02 2013-02-06 富士フイルム株式会社 絶縁膜
CN101017834A (zh) 2007-03-02 2007-08-15 上海集成电路研发中心有限公司 一种soi集成电路结构及其制作方法
US7615482B2 (en) 2007-03-23 2009-11-10 International Business Machines Corporation Structure and method for porous SiCOH dielectric layers and adhesion promoting or etch stop layers having increased interfacial and mechanical strength
US20090264277A1 (en) 2007-04-17 2009-10-22 Dr. Rishi Raj Picoscale catalysts for hydrogen catalysis
US7955955B2 (en) 2007-05-10 2011-06-07 International Business Machines Corporation Using crack arrestor for inhibiting damage from dicing and chip packaging interaction failures in back end of line structures
JP5022116B2 (ja) * 2007-06-18 2012-09-12 三菱重工業株式会社 半導体装置の製造方法及び製造装置
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
KR20100042644A (ko) * 2007-07-13 2010-04-26 어플라이드 머티어리얼스, 인코포레이티드 보론 유도 물질 증착 방법
US20090061649A1 (en) 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
JP2009075285A (ja) 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法
CN101971298A (zh) 2007-11-02 2011-02-09 佳能安内华股份有限公司 表面处理设备和表面处理方法
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
US9591738B2 (en) 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US20090258487A1 (en) 2008-04-14 2009-10-15 Keng-Chu Lin Method for Improving the Reliability of Low-k Dielectric Materials
EP2274458B1 (en) 2008-05-07 2020-03-25 The Trustees of Princeton University Method for protecting electronic devices by means of hybrid layers
KR101629193B1 (ko) 2008-06-26 2016-06-10 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Soi 기판의 제작 방법
US8168268B2 (en) 2008-12-12 2012-05-01 Ovishinsky Innovation, LLC Thin film deposition via a spatially-coordinated and time-synchronized process
US8916022B1 (en) 2008-09-12 2014-12-23 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8268722B2 (en) 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
US20100317198A1 (en) 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US8084339B2 (en) 2009-06-12 2011-12-27 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8071451B2 (en) 2009-07-29 2011-12-06 Axcelis Technologies, Inc. Method of doping semiconductors
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8202783B2 (en) 2009-09-29 2012-06-19 International Business Machines Corporation Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
WO2011072143A2 (en) 2009-12-09 2011-06-16 Novellus Systems, Inc. Novel gap fill integration
JP5394270B2 (ja) 2010-01-25 2014-01-22 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
US8399350B2 (en) 2010-02-05 2013-03-19 International Business Machines Corporation Formation of air gap with protection of metal lines
US8349746B2 (en) 2010-02-23 2013-01-08 Applied Materials, Inc. Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
WO2011113177A1 (en) 2010-03-17 2011-09-22 Applied Materials, Inc. Method and apparatus for remote plasma source assisted silicon-containing film deposition
US20120142172A1 (en) 2010-03-25 2012-06-07 Keith Fox Pecvd deposition of smooth polysilicon films
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8288292B2 (en) * 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
TW201216331A (en) * 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
KR20130135261A (ko) 2010-11-03 2013-12-10 어플라이드 머티어리얼스, 인코포레이티드 실리콘 카바이드 및 실리콘 카보나이트라이드 막들을 증착하기 위한 장치 및 방법들
KR101787041B1 (ko) 2010-11-17 2017-10-18 삼성전자주식회사 식각방지막이 구비된 반도체 소자 및 그 제조방법
CN102468434A (zh) 2010-11-17 2012-05-23 中芯国际集成电路制造(北京)有限公司 相变存储器的制作方法
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8637412B2 (en) 2011-08-19 2014-01-28 International Business Machines Corporation Process to form an adhesion layer and multiphase ultra-low k dielectric material using PECVD
KR101334640B1 (ko) 2011-08-22 2013-11-29 서울시립대학교 산학협력단 고강도 실리콘옥시카바이드 결합 탄화규소 소재 제조용 조성물, 탄화규소 소재 및 그 제조방법
JP2013055136A (ja) 2011-09-01 2013-03-21 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP2013074093A (ja) 2011-09-28 2013-04-22 Renesas Electronics Corp リフロー前処理装置およびリフロー前処理方法
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
WO2013073216A1 (ja) 2011-11-14 2013-05-23 住友電気工業株式会社 炭化珪素基板、半導体装置およびこれらの製造方法
JPWO2013103037A1 (ja) 2012-01-07 2015-05-11 日本電気株式会社 光学装置、光学素子および画像表示装置
US20130242493A1 (en) 2012-03-13 2013-09-19 Qualcomm Mems Technologies, Inc. Low cost interposer fabricated with additive processes
US20130298942A1 (en) 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US20180347035A1 (en) 2012-06-12 2018-12-06 Lam Research Corporation Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US20180330945A1 (en) 2012-06-12 2018-11-15 Lam Research Corporation Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
JP6172660B2 (ja) 2012-08-23 2017-08-02 東京エレクトロン株式会社 成膜装置、及び、低誘電率膜を形成する方法
JP6047795B2 (ja) 2012-11-12 2016-12-21 日東電工株式会社 アンテナモジュール
US8766404B1 (en) 2013-01-10 2014-07-01 Intermolecular, Inc. Device design for partially oriented rutile dielectrics
US8928149B2 (en) 2013-03-12 2015-01-06 Macronix International Co., Ltd. Interlayer conductor and method for forming
WO2014143337A1 (en) 2013-03-14 2014-09-18 Applied Materials, Inc. Adhesion layer to minimize dilelectric constant increase with good adhesion strength in a pecvd process
US20140302690A1 (en) 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US8927442B1 (en) 2013-07-25 2015-01-06 International Business Machines Corporation SiCOH hardmask with graded transition layers
US9543140B2 (en) * 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
JP6267953B2 (ja) 2013-12-19 2018-01-24 東京エレクトロン株式会社 半導体装置の製造方法
US9362186B2 (en) 2014-07-18 2016-06-07 Applied Materials, Inc. Polishing with eddy current feed meaurement prior to deposition of conductive layer
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9391086B1 (en) 2015-02-23 2016-07-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing nonvolatile semiconductor memory device
US20160268286A1 (en) 2015-03-11 2016-09-15 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device and semiconductor device
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
WO2016195983A1 (en) 2015-06-05 2016-12-08 Applied Materials, Inc. Graded in-situ charge trapping layers to enable electrostatic chucking and excellent particle performance for boron-doped carbon films
US20180202042A1 (en) 2015-07-09 2018-07-19 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Alkylamino-substituted halocarbosilane precursors
KR102615728B1 (ko) * 2015-09-18 2023-12-18 어플라이드 머티어리얼스, 인코포레이티드 등각적 bcn 막들을 증착하기 위한 방법들
US10418243B2 (en) * 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US20180033614A1 (en) 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment

Also Published As

Publication number Publication date
TW202018114A (zh) 2020-05-16
CN112673123A (zh) 2021-04-16
KR20210024656A (ko) 2021-03-05
WO2020018796A1 (en) 2020-01-23
CN112673123B (zh) 2023-12-26
US10840087B2 (en) 2020-11-17
US20200027725A1 (en) 2020-01-23

Similar Documents

Publication Publication Date Title
CN112673123B (zh) 基于远程等离子体的硼氮化物、硼碳化物和硼碳氮化物膜的沉积
US20230203646A1 (en) Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US10062563B2 (en) Selective atomic layer deposition with post-dose treatment
US20180330945A1 (en) Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US11848199B2 (en) Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
KR20160061890A (ko) 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법
US8906791B2 (en) Method of improving film non-uniformity and throughput
US20220375722A1 (en) Selective graphene deposition using remote plasma
JP2023514831A (ja) グラフェン集積化
US20230245924A1 (en) Selective deposition using graphene as an inhibitor
KR20200076757A (ko) PECVD 금속-도핑된 탄소 하드마스크들 (hardmasks) 에 대해 동질 계면 (homogenous interface) 을 증착하기 위한 시스템들 및 방법들
CN112469846B (zh) 使用异质前体相互作用的硅碳化物膜的保形沉积
TWI837151B (zh) 使用含矽及含碳前驅物的基於遠端電漿之矽碳化物膜沉積
WO2023049012A1 (en) Remote plasma deposition with electrostatic clamping
WO2020023378A1 (en) Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
CN115868002A (zh) 高纵横比3d nand架构中的钨字线填充

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination