CN115793404A - 除去光致抗蚀剂图案化浮渣的原子层清洁 - Google Patents

除去光致抗蚀剂图案化浮渣的原子层清洁 Download PDF

Info

Publication number
CN115793404A
CN115793404A CN202211389293.8A CN202211389293A CN115793404A CN 115793404 A CN115793404 A CN 115793404A CN 202211389293 A CN202211389293 A CN 202211389293A CN 115793404 A CN115793404 A CN 115793404A
Authority
CN
China
Prior art keywords
carbon
plasma
substrate
gas
inert gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202211389293.8A
Other languages
English (en)
Inventor
普尔凯特·阿加瓦尔
普鲁肖塔姆·库马尔
阿德里安·拉沃伊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN115793404A publication Critical patent/CN115793404A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Inorganic Chemistry (AREA)
  • Environmental & Geological Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

对于图案化精度而言,期望用于从图案化心轴结构去除光致抗蚀剂图案化浮渣而不会损坏半导体衬底上的其他特征或结构的方法和装置。方法涉及通过原子层清洁(ALC)工艺清洁半导体衬底上的含碳特征,以除去所述含碳特征的浮渣,而基本上不改变特征的关键尺寸。所述ALC工艺涉及在没有等离子体也没有其他高能激活的情况下将所述含碳特征暴露于氧化剂或还原剂,以使所述含碳特征的表面上的浮渣改性。然后将所述含碳特征的所述表面上的经改性的所述浮渣暴露于惰性气体以及在大于0.1托且小于10托的压强和小于200W的功率下点燃的等离子体,以从所述含碳特征的所述表面除去经改性的所述浮渣。

Description

除去光致抗蚀剂图案化浮渣的原子层清洁
本申请是申请号为201880047574.0,申请日为2018年7月13日,申请人为朗姆研究公司,发明创造名称为“除去光致抗蚀剂图案化浮渣的原子层清洁”的发明专利申请的分案申请。
相关申请的交叉引用
本申请要求于2017年7月19日提交的名称为“ATOMIC LAYER CLEAN FOR REMOVALOF PHOTORESIST PATTERNING SCUM”的美国专利申请No.:15/654,612的权益,并且是2017年4月28日提交的名称为“ATOMIC LAYER ETCH METHODS AND HARDWARE FOR PATTERNINGAPPLICATIONS”的美国专利申请No.:15/582,359No.:15/582,359的部分继续申请,通过引用将两者全部内容并入本文以用于所有目的。
背景技术
集成电路的制造通常涉及在半导体的大批量制造中对小特征进行图案化。在图案化操作期间对光致抗蚀剂进行光刻处理后,材料的不完全灰化会在图案化心轴结构上留下残留物,其称为“浮渣”。对于图案化精度而言,期望在不损害半导体衬底上的其他特征或结构的情况下除去浮渣或“去浮渣”。
发明内容
本发明提供了处理半导体衬底的方法。一方面提供了一种处理半导体衬底的方法,所述方法包括:(a)向室提供所述半导体衬底,所述半导体衬底包含具有含碳特征图案的含碳材料;以及(b)通过原子层清洁(ALC)工艺清洁所述含碳特征,以除去所述含碳特征的浮渣,而基本上不改变特征的关键尺寸,所述ALC工艺包括:(i)在没有等离子体也没有其他高能激活的情况下将所述含碳特征暴露于氧化剂或还原剂,以使所述含碳特征的表面上的浮渣改性;以及(i i)将所述含碳特征的所述表面上的经改性的所述浮渣暴露于惰性气体中,并在大于0.1托且小于10托的压强和小于200W的功率下点燃等离子体,以从所述含碳特征的所述表面除去经改性的所述浮渣。
在一些实施方案中,所述氧化剂选自由氧气(O2)、一氧化二氮(N2O)、二醇类、水、臭氧(O3)、醇类、酯类、酮类、羧酸类组成的组。
在一些实施方案中,向所述半导体衬底投配氧化剂使所述半导体衬底氧化饱和以产生饱和单层。
在一些实施方案中,将所述含碳特征暴露于还原剂将所述含碳特征中的碳转化为化学式为CXHY的烃,其中x和y为大于或等于1的整数。
在一些实施方案中,所述惰性气体选自由氦气、氮气、氩气和氖气组成的组。
在一些实施方案中,所述含碳材料特征包含选自由旋涂碳、光致抗蚀剂或无定形碳组成的组的材料。
所述室可以被设定为介于约0.1托和约0.5托之间的室压强。在一些实施方案中,所述等离子体使用介于约10W与50W之间的等离子体功率来点燃。
可以在(b)(i)之后,用惰性气体或真空清扫所述室。在一些实施方案中,清扫所述室将选自由氧化剂、还原剂或释放的副产物组成的组的任何一种或多种除去。
将所述含碳特征的改性表面暴露于被点燃的所述等离子体中可以高能激活所述饱和单层以释放挥发性副产物。在一些实施方案中,所述挥发性副产物选自:一氧化碳(CO)、二氧化碳(CO2)和具有化学式CXHY的烃,所述烃如甲烷(CH4)、乙炔(C2H2)、乙烯(C2H4)或乙烷(C2H6)。
在一些实施方案中,高能等离子体激活的方法包括由电容耦合反应器(CCP)、电感耦合反应器(ICP)提供的方法,或者是基于热、基于紫外或基于光子的方法。
可以在被点燃的所述等离子体对所述饱和单层进行所述高能激活之后,用所述惰性气体或真空清扫所述室以除去氧化剂、还原剂或释放的副产物。
在一些实施方案中,所述含碳特征的所述表面上的所述浮渣的厚度小于3埃
Figure SMS_1
另一方面涉及一种装置,其包括:一个或多个处理室,其中每个处理室具有卡盘。所述装置还可以包括通向所述处理室内的一个或多个气体入口和相关的流量控制硬件;以及具有处理器和存储器的控制器。所述处理器和存储器可以相互通信连接。另外,所述处理器可以与所述流量控制硬件能操作地连接。所述存储器可以存储用于控制处理器以通过以下方式控制所述流量控制硬件的计算机可执行指令:向在所述处理室中的至少一个处理室内的半导体衬底投配氧化剂或还原剂。用氧化剂投配可以使所述半导体衬底氧化饱和以产生饱和单层。替代地,用所述还原剂投配可以使所述半导体衬底中的基于碳的材料转化为烃与挥发性副产物。用于控制所述处理器以控制所述流量控制硬件的所述指令还可以包括:清扫所述处理室,以除去所述氧化剂或所述还原剂和/或释放所述挥发性副产物;通过在所述饱和单层上施加定向等离子体来激活所述饱和单层以除去激活的所述饱和单层;以及在激活和除去所述饱和单层之后清扫处理室。
下面参照附图进一步描述这些和其他方面。
附图说明
图1A-1I是处于经历原子层清洁(ALC)工艺的各个阶段的半导体衬底的示意图。
图2是原子层蚀刻(ALE)工艺的示例的示意图。
图3是根据公开的实施方案执行的操作的工艺流程图。
图4是根据公开的实施方案执行的操作的另一工艺流程图。
图5是描绘根据某些公开的实施方案执行的ALC操作的示例的时序示意图。
图6是用于执行某些公开的实施方案的示例处理工具的示意图。
图7是用于执行某些公开的实施方案的另一示例处理工具的示意图。
具体实施方式
在以下描述中,阐述了许多具体细节以提供对所给出的实施方案的透彻理解。所公开的实施方案可以在没有这些具体细节中的一些或全部的情况下实施。在其他实例中,众所周知的处理操作未被详细描述以免不必要地模糊所公开的实施方案。尽管将结合具体实施方案描述所公开的实施方案,但应理解的是,其不旨在限制所公开的实施方案。
在半导体处理中薄膜的图案化用于半导体器件的制备和制造中。在图案化操作期间对光致抗蚀剂进行光刻处理后,材料的不完全灰化会在图案化心轴结构上留下残留物,其称为“浮渣”。对于图案形成精度而言,期望在不损害半导体衬底上的其他特征或结构的情况下除去浮渣或“去浮渣”。去浮渣(或“除渣”)是指从含碳晶片特征(例如图案化心轴结构)之间除去不希望有的碳材料的过程。通常,图案化心轴是基于碳的,并且可以称为光致抗蚀剂(PR)。PR的类型包括负的、正的、极紫外(EUV)的或旋涂碳(SOC)或旋涂硬掩模(SOH)。浮渣(包括基脚、桁条或其他残留材料)会通过连接心轴结构而对半导体特征、结构或心轴的图案化造成风险。因此,除去浮渣可以改善图案化方法,例如两重图案化、四重图案化或多重图案化应用。
例如在等离子体施加操作期间,由于离子引起的损害,用于除去浮渣的常规技术可能对下伏的含碳特征造成各向同性的损害。如本文所述,原子层清洁(ALC)提供了一种改善或改进在含碳特征(例如PR心轴)上的限定厚度的表面的蚀刻的方法。ALC利用了期望的和不期望的反应(例如与期望的反应竞争的破坏性反应,例如离子诱导的损伤、缺陷产生等)之间的关系,以除去浮渣而不会破坏晶片上的下伏的含碳特征。
本文提供了使用ALC除去浮渣以提高管芯、晶片内以及从批至批的图案化精度的方法。这样的技术优先除去浮渣而基本上不改变特征关键尺寸。公开的实施方案除去了PR浮渣以改善图案保真度。
图1A示出了具有光致抗蚀剂(PR)浮渣102的图案化心轴结构(例如心轴100)的透视图,其中浮渣102在心轴100上或从心轴100上延伸。浮渣102通过原子层清洁(ALC)工艺从心轴100除去,如图1B所示。图1C和1D示出了形成在衬底106上的心轴100的阵列104的截面侧视图。本领域的普通技术人员应理解,衬底106可以包括适合用于半导体处理的多层堆叠件,该多层堆叠件还可以包括:其他层,例如蚀刻停止层、覆盖层、阻挡层和其他底层,如图1G所更详细描述的。
在光刻之后残留在衬底上的不期望有的基于碳的材料,例如基脚、桁条或其他形式的不期望有的衬底表面粗糙物,在本文中统称为“浮渣”,例如浮渣102。在一些实施方案中,浮渣102可包含与心轴100的碳含量相似或相同的碳含量,心轴100也称为含碳特征。如图1C中所示,几个心轴100可以在阵列104中彼此相邻地定位。浮渣102可以在光刻之后(例如当浮渣102被组织或定位在阵列104中时)通过保留在衬底106上以连接心轴100而具有图案化风险。心轴100通过浮渣102的这种连接是不期望有的,因此浮渣102通过ALC工艺(例如参考图2-5所示和所述的)被清洁,使得心轴100的阵列104没有浮渣102,如图1D所示。
在一些实施方案中,浮渣102是PR显影过程的残余物,其相对分散在整个心轴100上,如图1A所示,并因此在心轴上形成薄层。这样的浮渣102因此具有相对高的表面积比体积的比率,并且因此可能更易于通过ALC工艺除去。而且,在一些实施方案中,浮渣102可以与心轴100集成或整合到心轴100中,该心轴102由通常与浮渣102的材料相同的材料形成。因此,浮渣102可以被认为是心轴100的不期望有的突起。
通过理解原子层蚀刻(ALE)工艺可以促进对ALC的理解,其描述于2017年4月21日提交的名称为“ETCHING SUBSTRATES USING ALE AND SELECTIVE DEPOSITION”的美国专利申请序列No.15/494,245,以及于2017年4月28日提交的名称为“ATOMIC LAYER ETCHMETHODS AND HARDWARE FOR PATTERNING APPLICATIONS”的美国专利申请序列No.15/582,359中,两者通过引用将其全部内容合并于此。可以重复ALC,直到获得期望的结果,例如,从衬底106上的心轴100上或心轴100之间完全除去浮渣102。
ALC的预期用途可能与ALE不同,因为通常可以进行ALE来减小衬底上的特定特征或结构的关键尺寸(CD),例如图1A至1D中所示的衬底106上的心轴100。传统的光刻处理技术可能无法根据需要精确控制CD,因此ALE可以适当地应对这一挑战。与ALE相反,本文所讨论的ALC主要针对清洁或除去浮渣,例如浮渣102,其可能发展为PR工艺的残留物。
因此,ALC可以采用强调ALC工艺中的方向性的工艺参数和操作,以更好地瞄准并除去衬底上的浮渣或衬底上的特征上的浮渣。
在一些实施方案中,ALC可具有一般的工艺流程,其进一步在图3和图4中示出,其包括在没有等离子体也没有其他高能激活的情况下将含碳特征(例如,衬底106上的心轴100)暴露于氧化剂或还原剂。含碳特征可以包括诸如旋涂碳、光致抗蚀剂和无定形碳之类的材料。将含碳特征暴露于氧化剂或还原剂会使含碳特征表面上的浮渣102改性。在一些实施方案中,被识别用于改性和随后除去的浮渣102可以小于指定的厚度,例如
Figure SMS_2
或者在例如
Figure SMS_3
Figure SMS_4
之间的范围内。然后将心轴100或衬底106的表面上的改性的浮渣暴露于惰性气体以及点燃的等离子体中,以从心轴100或衬底106的表面上除去改性的浮渣102。在一些实施方案中,等离子体是在大于0.1托且小于10托的压强和小于200W的功率下施加。氧化剂可以选自:氧气(O2)、一氧化二氮(N2O)、二醇类、水、臭氧(O3)、醇类、酯类、酮类、羧酸类。惰性气体可以选自:氦气、氮气、氩气、氖气及其组合。
向半导体衬底投配氧化剂使衬底106的表面(包括衬底106上的心轴100)氧化饱和,以产生饱和单层(图1A-1G中未示出)。在一些实施方案中,每个心轴100通常可以具有大于
Figure SMS_5
的关键尺寸。氧化剂可以在表面形貌上以等效方式在衬底达到饱和以生成饱和的单层,该单层随后在暴露于惰性气体和等离子体后被高能激活以释放挥发性副产物,例如一氧化碳(CO)、二氧化碳(CO2)和甲烷(CH4)以除去浮渣102。其他挥发性副产物包括含碳物质,其化学式可能为CxHy,其中x和y为大于或等于1的整数。
替代地,可以采用还原方法将包含碳基材料(例如具有碳-碳键)的浮渣102改性为化学式为CXHY的烃,例如甲烷(CH4)、乙炔(C2H2)、乙烯(C2H4)或乙烷(C2H6)。碳氢化合物的后续高能激活可释放出挥发性副产物,以从心轴100或衬底106上除去浮渣102。
上述ALC工艺的任何一种变体,例如向衬底投配氧化剂以生成饱和单层或者采用还原性方法将浮渣102中的碳转化为碳氢化合物都可能使含碳特征(例如衬底106上的心轴100)的浮渣除去,而基本上不改变下伏的特征关键尺寸。
此外,在一些实施方案中,ALC工艺可涉及对容纳衬底106(其中心轴100从衬底106延伸)的反应室进行一次或多次清扫,以除去氧化剂、还原剂或还原试剂以及释放的副产物。例如,在对衬底106投配氧化剂或者使用还原方法将碳基材料转化为烃与挥发性副产物之后,可以用惰性气体清扫反应室,以除去氧化剂、还原剂或还原试剂以及释放的副产物。在饱和单层的高能激活并除去浮渣102之后,反应室可以再次用惰性气体清扫,以再次除去氧化剂、还原剂或还原试剂以及释放的副产物。参照图3至图5更详细地示出和描述了ALC工艺。
回到图1C和1D,心轴100可以布置成阵列104。图1E和1F示出具有附加心轴100的阵列104的变体。本领域的普通技术人员应理解,在衬底106上的心轴100存在各种不同的方位或构造,并且本文中讨论的ALC工艺可以用于从多种构造的心轴100除去浮渣102。
图1G示出了衬底106,该衬底106具有在第二芯108、第三芯110和目标层112上的光刻限定或图案化的第一芯116。本领域普通技术人员应理解适合于如本文所述的半导体处理的多层堆叠件还可以包括其他层,例如蚀刻停止层、覆盖层、阻挡层和其他底层。
每个心轴100可以包括图案化的第一芯116,其可以包括含碳和/或含硅的材料。在一些实施方案中,图案化的第一芯116是碳基光致抗蚀剂(PR)。图案化的第一芯116被光刻限定并且用于蚀刻第二芯108。第二芯108可以通过任何合适的沉积技术来沉积,诸如通过等离子体增强化学气相沉积(PECVD)来沉积。沉积可涉及从包含碳氢化合物前体或反应物的沉积气体在沉积室中产生等离子体。烃前体可以由式CxHy定义,其中x是2和10之间的整数,并且y是2和24之间的整数。示例包括甲烷(CH4)、乙炔(C2H2)、乙烯(C2H4)、丙烯(C3H6)、丁烷(C4H10)、环己烷(C6H12)、苯(C6H6)和甲苯(C7H8)。可以使用包括高频(HF)功率和低频(LF)功率的双射频(RF)等离子体源。
在图1G所示的实施方案中,第二芯108下方是第三芯110,第三芯110也可以是通过PECVD沉积的含碳材料。
在第三芯110下面是目标层112。在一些实施方案中,目标层112可以是最终要被图案化的层,例如,通过图案化诸如心轴100之类的心轴结构来图案化。目标层112可以是半导体、介电层或其他层,例如可以由硅(Si)、氧化硅(SiO2)、氮化硅(SiN)或氮化钛(TiN)制成。可以通过原子层沉积(ALD)、等离子体增强的ALD(PEALD)、化学气相沉积(CVD)或其他合适的沉积技术来沉积目标层112。
图1H和1I示出了衬底106的俯视图。心轴100上较亮的区域表示相对于其他区域的凸起表面。如本文所讨论的,应用ALC从心轴100或晶片106除去浮渣102,从而产生清洁的心轴100,如图1I所示。
图2示出了示例性的原子层蚀刻(ALE)技术,该原子层蚀刻(ALE)技术使用顺序的自限反应来除去薄的材料层。如本文所公开的,ALC是对ALE的发展,并且通常采用与ALE类似的工艺和原理,但是需要特定的工艺参数或条件以除去期望的材料厚度,例如小于
Figure SMS_6
ALE的背景知识有助于解释ALC。
通常,ALE可以使用任何合适的技术来执行。2014年11月11日授权的美国专利No.8,883,028以及2014年8月19日授权的美国专利No.8,808,561中描述了原子层蚀刻技术的实例,为了描述示例性ALE和蚀刻技术的目的而将其通过引用并入本文。与原子层沉积(ALD)技术结合的ALE技术的示例在2017年2月21日授权的美国专利No.9,576,811中描述,其通过引用并入本文。在各种实施方案中,ALE可以用等离子体执行,或者可以热执行。
ALE循环执行。“ALE循环”的概念与本文各种实施方案的讨论相关。通常,ALE循环是用于执行一次蚀刻工艺的最小操作集合,例如蚀刻单层。一个循环的结果是衬底表面上的膜层的至少一些被蚀刻。通常,ALE循环包括用于形成反应层的改性操作,接着是除去操作以仅除去或蚀刻经改性的层。循环可能包括某些辅助操作,例如清扫所述反应物或副产物中的一种。
通常,ALE包含操作的唯一序列的一个实例。例如,ALE循环可以包括以下操作:(i)输送反应物气体,(i i)从反应室中清扫反应物气体,(i i i)输送除去气体和任选的等离子体,和(iv)清扫室。在一些实施方案中,可以非共形地执行蚀刻。图2显示了ALE循环的两个示例性示意图。图271a-271e显示了一个通用的ALE循环。在271a中,提供衬底。在271b中,衬底的表面被改性。在271c中,下一操作准备就绪。在271d中,经改性的层正在被蚀刻。在271e中,经改性的层被除去。类似地,图272a-272e示出了用于蚀刻含碳膜的ALE循环的示例。在272a中,提供含碳衬底,其包含许多碳原子。在272b中,将反应物气体氧气(O2)引入到衬底,这使衬底的表面改性。举例来说,272b中的示意图示出了一些氧气被吸附到衬底的表面上。尽管图2中描述了氧气,但可以使用任何含氧物质或合适的反应物。在272c中,从室清扫反应物气体氧气。
在272d中,如Ar+等离子体物质和箭头所示,用方向性等离子体引入除去气体氩气,并且执行离子轰击以除去衬底的经改性的表面。尽管在图2中描绘了氩气,但将理解的是可以使用其他除去气体,例如氦气、氮气、氩气及其组合。在除去期间,可以将偏置施加到衬底以将离子朝向衬底吸引。对于ALC,通常将偏置施加到衬底上,以达到朝向衬底的所需的离子方向性程度。在272e中,室被清扫并且副产品被除去。
完整的ALE循环可以仅部分蚀刻介于约0.1nm至约50nm之间的材料、或介于约0.1nm至约5nm之间的材料、或介于约0.2nm至约50nm之间的材料、或介于约0.2nm至约5nm之间的材料。在一个循环中蚀刻的材料的量可能取决于蚀刻的目的;例如,在蚀刻含碳材料以形成图案之后,蚀刻掉的材料的量取决于待使用图案化含碳材料蚀刻的层的期望关键尺寸,例如,小于
Figure SMS_7
或在
Figure SMS_8
Figure SMS_9
范围内。
图3示出了用于在根据某些公开的实施方案的方法中执行ALC操作的工艺流程图。如图3中的操作306所示,ALC通常以与图2中所示的ALE的方式类似的方式发生,但具有操作308和310中所述的特定操作参数或条件。即,ALC需要在不存在等离子体的情况下,将半导体衬底上的含碳特征暴露于氧化剂或还原剂,如操作308所示。相反,较早的ALE方法通常涉及用氯基材料(而不是暴露于氧化剂)对特征表面上的材料进行改性,在这种情况下,此类物质被激活并挥发以除去该物质。另外,通过在操作310中指示的特定压强和功率设置下施加等离子体来除去经改性的表面(例如,含碳特征或心轴100上的浮渣)。ALC与ALE的另外的不同之处在于,通过施加以相对较低的功率施加的各向异性的或定向的等离子体来实现对不期望的材料(例如浮渣102)的定向蚀刻或者清洁。例如在10W到125W范围内的低功率可以允许受控的蚀刻或清洁。此外,可以控制例如在操作310处用于产生等离子体的气体混合物(诸如He和N2)的分压,例如作为ALC的一部分,以实现期望的蚀刻或清洁的轮廓。通常,与ALE相比,ALC工艺用于除去不希望有的较薄的材料层,其例如小于
Figure SMS_10
此外,相对于ALE,可以在较高压强下使用等离子体进行ALC工艺,以实现更快的循环时间。
例如,图3中的操作可以在大于约100毫托且小于约6托的室压强下执行,例如在约0.5托与约6托之间,或在约1托与约4托之间,或在约1托和约2托之间的室压强下执行。在操作302中,将衬底或晶片提供到处理室,例如沉积室。室可以是多室装置或单室装置中的室,例如例如图6和7所示的室。衬底可以是硅晶片,例如200mm晶片、300mm晶片或450mm晶片,包括具有沉积在其上的一层或多层材料(例如电介质、导电或半导电材料)的晶片。衬底可以位于用于保持衬底的基座上。基座可以设定在约35℃与约100℃之间的温度。该温度在本文中可以被称为衬底温度,但应该理解,衬底温度是保持衬底的基座被设定的温度。
在一些实施方案中,在操作302中提供的衬底包括含碳材料,例如旋涂碳、无定形碳或光致抗蚀剂。衬底可以包括先前在衬底上沉积并图案化的图案化掩模层。
在各种实施方案中,衬底上的层被图案化,例如以形成图1A-1G所示的心轴100。衬底包括“特征”,该特征包括含碳特征,例如心轴100,其可以被光刻限定,或者可以被图案化或蚀刻为来自一个或多个先前蚀刻工艺的图案化芯部材料。光刻限定是指通过光刻(例如193nm光刻)图案化,由此通过从光子源发射光子到掩模上并将该图案印刷到光敏光致抗蚀剂上,从而引起光致抗蚀剂中的化学反应,该化学反应除去光致抗蚀剂中的某些部分以形成图案。
在一些实施方案中,提供给室的衬底包括光刻限定的含碳特征的图案。这里使用的特征是指图案化的含碳材料的正性特征(pos i t ive feature)。节距被限定为特征之间的中心到中心的距离。特征在衬底表面上间隔开,由此特征之间的空间被称为“沟槽”或“孔”。在各种实施方案中,位于含碳特征下方的衬底可以包括底层,诸如阻挡层或粘合层。底层的非限制性示例包括介电层和导电层,例如氧化硅、氮化硅、碳化硅、金属氧化物、金属氮化物、金属碳化物和金属层。
在一些实施方案中,特征具有至少约2:1、至少约4:1、至少约6:1、至少约10:1、至少约30:1或更高的深宽比。在一些实施方案中,特征具有介于约6:1与约10:1之间的深宽比。公开的方法可以在具有特征的衬底上执行,其中特征之间的沟槽或孔的开口的宽度小于约150nm。
操作304开始通过ALC工艺清洁衬底上的特征,例如心轴100,该操作在操作306处进一步描述,操作306包括操作308和310。在图3的操作308中,在不点燃等离子体的情况下,将衬底暴露于氧化剂,例如含氧气体,以使衬底上的含碳材料(例如心轴100上)的表面改性。改性操作308形成薄的、反应性的表面层,其厚度例如小于
Figure SMS_11
在随后的除去操作中比未改性的材料更容易除去。其他合适的氧化剂包括但不限于:一氧化二氮(N2O)、二醇类、水、臭氧、醇类、酯类、酮类和羧酸类。向衬底投配氧化剂使衬底氧化饱和,例如以形貌上等同的方式进行,以在衬底上产生饱和的单层。在一些实施方案中,可以在将氧化剂引入室中时使衬底中的含碳材料改性。氧气可被吸附到衬底的表面上而不与含碳材料反应。而且,氧气可以以气体形式引入室中,并且可以任选地伴随有载气,载气可以是氦气、氮气、氩气、氖气及其组合中的任一种。在一些实施方案中,氧气与氮气一起被引入处理室。操作304可以执行足够长的时间以实现含氧气体在衬底表面的完全饱和。在一些实施方案中,持续时间可以是大约0.1秒。在一些实施方案中,持续时间可以在约0.1秒与约5秒之间,诸如约0.5秒或约1秒。
作为如上所述的给衬底投配氧化剂以使浮渣改性的替代方案,在一些实施方案中,可以采用还原方法来将碳基(例如具有碳-碳键)物转化为烃与挥发性副产物。然而,在一些实施方案中,氧化剂倾向于比还原剂更均匀地吸附到衬底的旨在被改性的表面上。应用还原方法释放的烃可具有化学式CxHy,其中x和y是大于或等于1的整数,其包括CH4、C2H2、C2H4、C2H6等。
在操作310中,将衬底(其包含衬底表面上的或在其上的特征的表面上的改性表面)暴露于惰性气体,并点燃等离子体以除去改性的表面。在操作310中施加的等离子体可以是频率为13.56MHz或27MHz的含氦或衍生自氦的等离子体。也可以使用从He或N2衍生或产生的等离子体。等离子体的选择可以根据与从给定气体产生的等离子体相关的配体或共配体的数量来确定。例如,较低量的配体或共配体倾向于导致等离子体表现出相对定向的行为。然而,较低量的配体或共配体可导致例如等离子体的相对激发的离子,从而蚀刻更多。
在一些实施方案中,可以采用400kHz和60MHz的频率来控制离子能量。另外,可选地,可以使用脉冲等离子体激活技术和双频激活,例如,串联的低频和高频。等离子体源可以包括从SHD交付的电容耦合电抗器(CCP)或电感耦合电抗器(ICP),或者是基于热、基于紫外线或基于光子的。
惰性气体可以从包括但不限于Ar、He、N2的组中选择,或者可以将衬底替代地暴露于真空中。为了除去在暴露于氧化剂或还原剂时特征的表面上的改性的浮渣,如操作308中所示,可以在操作310中将衬底暴露于能量源。合适的能量源可以包括诱导除去的活化或溅射气体或化学反应性物质,诸如氩或氦,以通过定向溅射来蚀刻(或清洁)衬底。在一些实施方案中,除去操作可以通过离子轰击来执行。在操作302-312中,不施加偏置来调节蚀刻的方向性以获得期望的轮廓。可以在不施加偏置的情况下实现保形蚀刻或通过ALC进行清洁以从特征表面除去浮渣。
可以控制溅射气体的量以仅蚀刻或清洁目标数量的材料,例如小于
Figure SMS_12
或在
Figure SMS_13
Figure SMS_14
的指定范围内的材料。例如,诸如氦气和氮气之类的溅射气体可以分别以10,000sccm和9,000sccm的流量流入处理室。此外,可以通过改变氦气比氮气的比率来控制衬底的蚀刻(或清洁)轮廓。在一些实施方案中,室的压强可以在改性和移除操作之间变化。气体的压强可以取决于室的大小、气体的流率、反应器的温度、衬底的类型以及要蚀刻的衬底的大小。在一些实施方案中,较高的气体压强可以允许相对更快的循环完成时间。如图3所示,在大于0.1托和小于10托的压强下点燃等离子体。
在所选择的等离子体功率下点燃等离子体,以减少衬底表面上材料的溅射,同时控制在每个循环中蚀刻的材料的量。对于四站室(例如同时处理四个衬底),等离子体功率可以在约250W和约750W之间。在一些实施方案中,单个衬底站的等离子体功率可以在大约50W和大约250W之间。尽管等离子体的使用通常会引起一些溅射,但通常通过以低等离子体功率在高压下执行所公开的实施方案来控制溅射,以获得对每循环蚀刻或清洁的材料量的精确调节控制,并由此图案化含碳材料以获得垂直或清洁的特征侧壁。例如,在一些实施方案中,室压强可以为约2托,每衬底站的等离子体功率在约50W和约250W之间。如图3所示,每衬底站的等离子功率小于200W,例如每个站介于10W至200W之间。在一些实施方案中,等离子体可被点燃少于约5秒的持续时间,诸如在约1秒与约5秒之间。
在操作312中,确定衬底是否被充分蚀刻或清洁。如果否,则操作308-312可以可选地重复。执行操作306可以构成一个ALC循环。在各种实施方案中,蚀刻或者清洁可以循环执行。循环次数取决于特定应用所需的蚀刻或者清洁的量。在各种实施方案中,可以使用大约1循环和大约100循环之间的循环。在一些实施方案中,可以使用约5个循环至约100个循环。在一些实施方案中,循环数可以是约1至约40个循环,或约1至约20个循环,或约30至约40个循环。可以包括任何合适数量的ALC循环来蚀刻或者清洁期望量的膜。在一些实施方案中,循环执行ALC以蚀刻衬底上的层的表面的约
Figure SMS_16
至约
Figure SMS_19
在一些实施方案中,ALC循环蚀刻衬底上的层的表面的约
Figure SMS_20
至约
Figure SMS_17
在一些实施方案中,可以通过使用光学发射光谱学(OES)来识别蚀刻量并且设定终点以在终点处停止蚀刻来选择循环的数量。在一些实施方案中,循环时间(单个循环的持续时间)可以小于1秒。如图3或4所示和讨论的ALC可以每循环
Figure SMS_18
Figure SMS_21
的蚀刻速率进行。通常,许多ALE工艺每循环除去约
Figure SMS_22
Figure SMS_15
如前所介绍的,ALC工艺的方向性可有助于将浮渣102有效地瞄准并从心轴100或衬底106的上除去,如图1A至1F所示。例如,在一些实施方案中,可以将高度定向的ALC应用于衬底上以在不腐蚀衬底上的特征的侧壁的情况下优先腐蚀衬底的暴露场区域。ALC工艺的特定的工艺条件或参数(例如均匀性、光滑度和选择性)可以量化为每个循环中除去的材料总量的百分比,其定义为“ALC协同作用”(“ALC synergy”),并在以下等式中显示:
Figure SMS_23
EPC被定义为“每循环蚀刻量”,并且表示在ALC的一个循环中除去的材料的总厚度,并且可以在多个循环中取平均值。“α”和“β”的值是来自各个ALC操作的不期望有的贡献值,并且还具有单位nm/循环。α是来自表面改性的不期望有的贡献值,该表面改性例如,中性反应物对表面的化学改性,例如在操作308中所示的化学改性。β是来自通过惰性离子能量除去改性层(例如图3中的操作310所示的)而产生的不期望有的贡献值。在理想的操作条件下,在没有一个步骤单独进行蚀刻的情况下,协同作用将接近100%。典型地,如在实践中观察到的,由于光子诱导的蚀刻、物理溅射、台阶污染和/或常规蚀刻的竞争反应,可能存在来自α和β的非零贡献值。表面的化学改性和通过惰性离子能量除去改性层可以作为独立的过程进行,以实验性地测量α和β的量。
如上文所定义,对ALC协同作用的研究可以根据需要有助于ALC工艺参数的微调和方向性以如操作306中所讨论的那样从特征的表面除去少于
Figure SMS_24
的浮渣。ALC工艺参数或者条件(诸如等离子体压强、功率和频率)的调整可能会影响每循环实现的蚀刻或清洁的相对方向性。通常,需要更具方向性或各向异性的蚀刻,以避免蚀刻特征侧壁。此外,可以调整参数以优先蚀刻衬底上的特征之间的区域,例如“场”。可替代地,当相对于特征本身上的浮渣平衡对场的蚀刻或清洁时,可以考虑由协同作用提供的信息。
图4示出了示例性ALC工艺的另一种变型,该ALC工艺涉及多个清扫操作以从处理室除去物质。可以在针对操作302-312所述的相似或相同的压强和温度范围下完成操作402-412。分别在操作404中向衬底投配氧化剂后以及在操作408中高能激活饱和单层之后,在操作406和410中清洗反应室。在一些实施方案中,可以在操作406和410将反应室清扫指定的持续时间,例如0.5秒至1秒之间。在操作406和410中,可以用诸如Ar、He、N2和Ne之类的惰性气体或真空来清扫反应室,以除去氧化剂、还原剂或释放的副产物。可以根据需要重复操作404-412,包括重复清扫操作406和410,以除去指定厚度的材料。
尽管可以使用Ar、He、N2和Ne中的任何一种或多种或真空来除去氧化剂、还原剂或释放的副产物,但是Ar原子的相对较大的尺寸可能会导致相对于用He、N2或Ne进行清扫,使用Ar(气体)进行清扫操作更难控制。因此,用Ar气清扫也可能倾向于表现出较小的方向性,并导致更多的特征侧壁损坏。
图5是描绘根据某些公开的实施方案执行的ALC操作的示例的时序示意图。图5所示的阶段通常可以对应于图4所示的操作404-410。在图5中提供的示例中,工艺500包括两个清洁循环512A和512B。清洁循环512A包括氧化剂气体(例如含氧气体)暴露阶段504A、清扫阶段506A、惰性气体与等离子体暴露阶段508A和清扫阶段510A。在可对应于图3的操作308的氧化剂暴露阶段504A期间,关闭清扫气流,关闭等离子体,关闭此示例中的惰性气体流,并打开含氧气体流。注意,虽然惰性气体流被描绘为关闭,但在一些实施方案中,可以是惰性气体的载气可以与含氧气体一起流动。在一些实施方案中,在将含氧气体输送到处理室之前转移载气。
在含氧气体暴露阶段504A之后,任选地执行清扫阶段506A,其可对应于图4的操作406。在清扫阶段506A期间,清扫气体流动,同时含氧气体和惰性气体流被关闭并且等离子体被关闭。注意,虽然清扫气体和惰性气体在图3中单独列出,但在一些实施方案中,相同的气体可以用作清扫气体,并且用作在惰性气体与等离子体暴露阶段508A中使用的惰性气体。在惰性气体与等离子体暴露阶段508A期间,关闭清扫气体流以及含氧气体流,同时打开惰性气体流并开启等离子体。该阶段可对应于图3的操作310。在惰性气体与等离子体暴露阶段508A期间不施加偏置。在含氧气体暴露阶段504A期间也不施加偏置。在可对应于图4的操作410的清扫阶段510A中,使清扫气体流动,同时关闭含氧气体流和惰性气体流,并关闭等离子体。如清洁循环512B中所示,可以重复蚀刻循环512A,清洁循环512B包括含氧气体暴露阶段504B、清扫阶段506B、惰性气体与等离子体暴露阶段508B和清扫阶段510B。
在含氧气体暴露阶段504B期间,清扫气体流和惰性气体流被关闭,而含氧气体流被开启,而等离子体被关闭。在清扫阶段506B期间,清扫气流被打开,同时含氧气体流和惰性气体流被关闭并且等离子体也被关闭。在惰性气体与等离子体暴露阶段508B期间,清扫气体流和含氧气体流被关闭,同时惰性气体流动被打开并且等离子体也被打开。在清扫阶段510B期间,清扫气体流被打开,同时含氧气体流和惰性气体流被关闭,并且等离子体被关闭。尽管在图5中描绘了两个清洁循环,但是应当理解,也可以执行附加的清洁循环。
装置
图6描绘了具有处理室602的原子层清洁(ALC)处理站600的实施方案的示意图。处理站600可以用于执行某些公开的实施方案。例如,虽然处理站600通常可以用于通过原子层沉积(ALD)在衬底上沉积膜,但是在某些公开的实施方案中可以使用处理站600以通过ALC在图案化方案中清洁含碳材料,如本文其他地方所述。在一些实施方案中,处理站600可以用于ALC和ALD两者,或者在一些实施方案中,多站工具中的多个处理站可以包括用于ALC的站和用于ALD的站,使得衬底可以在ALC站和ALD站之间转移,而不会破坏真空。
处理室602可用于维持低压环境。多个处理站可被包括在共同的低压处理工具环境中。例如,图7描述了多站处理工具700的一个实施方案。在一些实施方案中,处理站600的一个或多个硬件参数(包括以下详细讨论的那些参数)可以由一个或多个计算机控制器650以编程方式进行调整。
处理站600与反应物输送系统601a流体连通,以将处理气体输送到分配喷头606。反应物输送系统601a包括用于混合和/或调节处理气体(例如含氧气体)或惰性气体,以用于输送到喷头606。一个或多个混合容器入口阀620可以控制处理气体到混合容器604的引入。
作为示例,图6的实施方案包括汽化点603,其用于汽化待供应到混合容器604的液体反应物。在一些实施方案中,可以提供沉积化学物质作为汽化液体反应物。在处理室602中执行ALC之后可使用沉积化学物质以形成图案化的含碳材料,使得可通过ALD在图案化的含碳材料上沉积共形膜。在一些实施方案中,汽化点603可以是加热的汽化器。由这种汽化器产生的饱和反应物蒸气可能在下游输送管道中冷凝。不相容气体暴露于冷凝的反应物可能会产生小颗粒。这些小颗粒可能堵塞管道、阻碍阀门操作、污染衬底等。解决这些问题的一些方法涉及清扫和/或排空输送管道以除去残余反应物。但是,清扫输送管道可能会增加处理站循环时间、降低处理站的生产量。因此,在一些实施方案中,汽化点603下游的输送管道可以被加热追踪。在一些示例中,混合容器604也可以被加热追踪。在一个非限制性示例中,汽化点603下游的管道具有在混合容器604处从大约100℃延伸到大约150℃的增加的温度分布。
在一些实施方案中,液体前体或液体反应物可以在液体注射器(在图6中未示出)处被汽化。例如,液体注射器可将液体反应物的脉冲注入到混合容器604上游的载气流中。在一个实施方案中,液体注射器可通过使液体从较高压强闪蒸至较低压强而蒸发反应物。在另一个例子中,液体注射器可以将液体雾化成分散的微滴,其随后在加热的输送管道中蒸发。较小的液滴可能比较大的液滴蒸发得更快,从而减少液体注入和完全汽化之间的延迟。更快的蒸发可以减少汽化点603下游的管道长度。在一种情况下,液体注射器可以直接安装到混合容器604。在另一种情况下,液体注射器可以直接安装到喷头606。
在一些实施方案中,可提供汽化点603上游的液体流量控制器(LFC),用于控制液体的质量流量以汽化并传送至处理室602。例如,LFC可包括位于LFC的下游的热质量流量计(MFM)。随后可以响应于与MFM电通信的比例积分微分(PID)控制器提供的反馈控制信号来调节LFC的柱塞阀。但是,使用反馈控制可能需要一秒或更长时间来稳定液体流量。这可能会延长液体反应物的投配时间。因此,在一些实施方案中,LFC可以在反馈控制模式和直接控制模式之间动态地切换。在一些实施方案中,这可以通过禁用LFC和PID控制器的感测管来执行。
喷头606向衬底612分配处理气体。在图6所示的实施方案中,衬底612位于喷头606下方并且示出为搁置在卡盘或基座608上。喷头606可以定位在350密耳(0.35英寸)至700密耳(0.7英寸)之间的距离处,以实现由喷头606朝向衬底612提供或分散的离子的方向性的期望水平。在一些实施方案中,喷头606和基座612之间的较低或较小的间隙可用于保持从喷头606分散的离子的方向性。但是,在低压条件下(例如低于10毫托或0.01托)下,较高或较大的间隙可能需要从喷头606实现稳定的电离等离子体的扩散。在一些实施方案中,室可以包括多个卡盘或基座。喷头606可以具有任何合适的形状,并且可以具有用于将处理气体分配到衬底612的任何合适数量和布置的端口。
在一些实施方案中,可以升高或降低基座608以将衬底612暴露于衬底612和喷头606之间的体积。在一些实施方案中,基座608可以通过加热器610进行温度控制。在用于执行各种公开实施方案的操作期间,基座608可以设置为任何合适的温度,诸如在约25℃与约650℃之间或在约35℃与约100℃之间。应该理解的是,在一些实施方案中,基座高度可以由合适的计算机控制器650以编程方式调整。
在另一种情况下,调节基座608的高度可以允许在某些公开的实施方案中执行的等离子体激活期间改变等离子体密度。例如,当芯部材料暴露于含氧气体之后,当惰性气体经由喷头806流到衬底612以除去经改性的芯部材料时,等离子体可被点燃。在处理阶段结束时,基座608可在另一衬底传送阶段期间降低以允许从基座608移除衬底612。
在一些实施方案中,喷头606的位置可以相对于基座608进行调整,以改变衬底612和喷头606之间的体积。此外,应该理解的是,基座608和/或喷头606的垂直位置可以通过在本公开的范围内的任何合适的机制来改变。在一些实施方案中,基座608可以包括用于旋转衬底612的取向的旋转轴线。应该理解,在一些实施方案中,这些示例调整中的一个或多个可以由一个或多个合适的计算机控制器650以编程方式执行。计算机控制器650可以包括以下关于图7的控制器750描述的特征中的任一个。
在可以如上所述使用等离子体的一些实施方案中,喷头606和基座608电连接射频(RF)电源614和匹配网络616以用于为等离子体供电。在一些实施方案中,可以通过控制处理站压强、气体浓度、RF源功率、RF源频率和等离子体功率脉冲定时中的一个或多个来控制等离子体能量。例如,RF电源614和匹配网络616可以以任何合适的功率运行以形成具有期望组成的自由基物质的等离子体。类似地,RF电源614可以提供任何合适频率的RF功率。在一些实施方案中,RF电源614可以被配置为独立于彼此地控制高频和低频RF功率源。示例性低频RF频率可以包括但不限于0kHz和500kHz之间的频率。示例性高频RF频率可以包括但不限于1.8MHz与2.45GHz之间的频率,或者大于约13.56MHz的频率,或者大于27MHz的频率,或者大于40MHz的频率,或者大于60MHz的频率。应该理解的是,可以离散地或连续地调制任何合适的参数以为表面反应提供等离子体能量。
在一些实施方案中,等离子体可以由一个或多个等离子体监测器原位监测。在一种情况下,等离子体功率可以通过一个或多个电压、电流传感器(例如,VI探针)来监测。在另一种情况下,等离子体密度和/或处理气体浓度可以通过一个或多个光学发射光谱传感器(OES)来测量。在一些实施方案中,一个或多个等离子体参数可以基于来自这种原位等离子体监测器的测量结果被编程地调整。例如,OES传感器可以用在反馈回路中以提供对等离子体功率的编程控制。在一些实施方案中,使用某些公开的实施方案,可以使用OES传感器来设置终点以在一定量的时间之后停止蚀刻。应该理解,在一些实施方案中,可以使用其他监视器来监视等离子体和其他处理特性。这种监视器可以包括但不限于红外(IR)监视器、声音监视器和压强传感器。
在一些实施方案中,可以经由输入/输出控制(IOC)排序指令来提供用于控制器650的指令。在一个示例中,用于设置工艺阶段的条件的指令可以被包括在工艺配方的相应配方阶段中。在某些情况下,工艺配方阶段可能会按顺序排列,以便工艺阶段的所有指令都与该工艺阶段同时执行。在一些实施方案中,用于设定一个或多个反应器参数的指令可以被包括在配方阶段中。例如,第一配方阶段可以包括用于设定惰性气体和/或反应物气体(例如,含氧气体)的流率的指令、用于设定载气(例如氩)的流率的指令以及用于第一个配方阶段的时间延迟指令。随后的第二配方阶段可以包括用于调节或停止惰性气体和/或反应物气体的流率的指令、以及用于调节载气或清扫气体的流率的指令以及用于第二配方阶段的时间延迟指令。第三配方阶段可以包括用于调节诸如氩气之类的第二气体的流率的指令、用于调节载体或清扫气体的流率的指令、用于针对四站处理工具以约250W至约750W之间的低等离子体功率点燃等离子体的指令和用于第三个配方阶段的时间延迟指令。随后的第四配方阶段可包括用于调节或停止惰性气体和/或反应物气体的流率的指令、以及用于调节载气或清扫气体的流率的指令以及用于第四配方阶段的时间延迟指令。这样的配方可以用于在衬底上蚀刻诸如芯部材料之类的含碳材料,以产生与在大约90°±5°的部位处与待蚀刻的下层的表面相接的垂直侧壁。另外的配方也可以跟随并且可以用于通过ALD在图案化的芯部材料上沉积共形膜。例如,为了在图案化的芯部材料上沉积氧化硅共形膜,一个附加配方阶段可以包括用于设定含硅前体的流率的指令,而另一个附加配方阶段可以包括用于设定含氧前体的流率的指令和用于该附加配方阶段的时间延迟指令。应该理解的是,在本公开的范围内,这些配方阶段可以以任何合适的方式被进一步细分和/或重复。
此外,在一些实施方案中,用于处理站600的压强控制可由蝶阀618提供。如图6的实施方案所示,蝶阀618节流由下游真空泵(在图8中未示出)提供的真空。然而,在一些实施方案中,处理站600的压强控制也可以通过改变引入处理站600的一种或多种气体的流率来调节。
如上所述,一个或多个处理站可以被包括在多站处理工具中。图7示出了具有入站装载锁702和出站装载锁704的多站处理工具700的实施方案的示意图,所述入站装载锁702和出站装载锁704中的任一个或两者可以包括远程等离子体源(在图7中未示出)。在大气压强下的机械手706被配置为将晶片从通过容器708加载的盒子经由大气端口710移动到入站装载锁702中。通过机械手706将晶片(在图7中未示出)放置在入站装载锁702中的基座712上,大气端口710被关闭,并且入站装载锁702被抽排。在入站装载锁702包括远程等离子体源的情况下,晶片在被引入处理室714之前可以在入站装载锁702中暴露于远程等离子体处理。此外,晶片还可以在入站装载锁702中被加热,例如,以除去水分和吸附的气体。接下来,打开处理室714的室输送口716,另一个机械手(未示出)将晶片放入反应器中,在反应器中示出的第一站的基座上以进行处理。尽管图7中描绘的实施方案包括装载锁,但应该理解的是,在一些实施方案中,可以提供晶片直接进入处理站。
所描绘的处理室714包括四个处理站,在图7所示的实施方案中从1到4编号。每个站具有加热基座(在718处示出用于站1)和气体管线入口。应该理解,在一些实施方案中,每个处理站可以具有不同的或多个目的。例如,在一些实施方案中,处理站可以在ALC、ALD和等离子体增强ALD工艺模式之间切换。在一些实施方案中,暴露于沉积前体和暴露于第二反应物和等离子体在相同的站中进行。另外地或替代地,在一些实施方案中,处理室714可以包括一个或多个匹配的ALD处理站和等离子体增强ALD处理站对。虽然所描绘的处理室714包括四个站,但应理解,根据本公开的处理室可具有任何合适数量的站。例如,在一些实施方案中,处理室可具有五个或更多个站,而在其他实施方案中,处理室可具有三个或更少的站。
图7描绘了用于在处理室714内传送晶片的晶片搬运系统790的一个实施方案。在一些实施方案中,晶片搬运系统790可以在各个处理站之间和/或在处理站与装载锁之间传送晶片。应该理解,可以使用任何合适的晶片搬运系统。非限制性示例包括晶片传送带和晶片处理机械手。图7还描绘了用于控制处理工具700的处理条件和硬件状态的系统控制器750的实施方案。系统控制器750可以包括一个或多个存储器设备756、一个或多个大容量存储设备754以及一个或多个处理器752。处理器752可以包括CPU或计算机、模拟和/或数字输入/输出连接、步进电机控制板等。
在一些实施方案中,系统控制器750控制处理工具700的所有活动。系统控制器750执行系统控制软件758,系统控制软件758存储在大容量存储设备754中、加载到存储器设备756中并在处理器752上执行。或者,控制逻辑可以在控制器750中硬编码。专用集成电路、可编程逻辑器件(例如,现场可编程门阵列或FPGA)等可以用于这些目的。在下面的讨论中,凡是使用“软件”或“代码”的地方,都可以使用功能相当的硬编码逻辑。系统控制软件758可以包括用于控制定时、气体混合物、气体流速、室和/或站压强、室和/或站温度、晶片温度、目标功率电平、RF功率电平、衬底基座、卡盘和/或感受器位置以及由处理工具700执行的特定处理的其他参数的指令。系统控制软件758可以以任何合适的方式来配置。例如,可以编写各种处理工具组件子例程或控制对象来控制用于执行各种处理工具处理的处理工具组件的操作。系统控制软件758可以用任何合适的计算机可读编程语言编码。
在一些实施方案中,系统控制软件758可以包括用于控制上述各种参数的输入/输出控制(IOC)定序指令。在一些实施方案中可以采用存储在与系统控制器750相关联的大容量存储设备754和/或存储器设备756上的其他计算机软件和/或程序。用于此目的的程序或程序段的例子包括衬底定位程序、处理气体控制程序、压强控制程序、加热器控制程序和等离子体控制程序。
衬底定位程序可以包括用于处理工具部件的程序代码,该程序代码用于将衬底加载到基座718上并且控制衬底和处理工具700的其他部分之间的间隔。
处理气体控制程序可以包括用于控制气体组成(例如,如本文所述的含硅气体、含氧气体和清扫气体)和流速的代码,并且可选地用于在沉积之前使气体流入一个或多个处理站以稳定处理站的压强。压强控制程序可以包括用于通过调节例如处理站的排气系统中的节流阀、进入处理站的气流等来控制处理站中的压强的代码。
加热器控制程序可以包括用于控制流向用于加热衬底的加热单元的电流的代码。或者,加热器控制程序可以控制传热气体(例如氦气)向衬底的传送。
根据这里的实施方案,等离子体控制程序可以包括用于设置施加到一个或多个处理站中的处理电极的RF功率电平的代码。
根据本文的实施方案,压强控制程序可以包括用于维持反应室中的压强的代码。
在一些实施方案中,可以存在与系统控制器750相关联的用户界面。用户界面可以包括显示屏幕、设备和/或工艺条件的图形软件显示以及用户输入设备,诸如定点设备、键盘、触摸屏、麦克风等
在一些实施方案中,由系统控制器750调整的参数可涉及工艺条件。非限制性示例包括处理气体组成和流量、温度、压强、等离子体条件(诸如RF偏置功率电平)等。这些参数可以以配方的形式提供给用户,该配方可以利用用户界面输入。
通过系统控制器750的来自各种处理工具传感器的模拟和/或数字输入连接可以提供用于监视处理的信号。用于控制处理的信号可以在处理工具700的模拟和数字输出连接上输出。可以被监测的处理工具传感器的非限制性示例包括:质量流量控制器、压强传感器(诸如压强计)、热电偶等。适当地编程的反馈和控制算法可以与来自这些传感器的数据一起使用以保持工艺条件。
系统控制器750可以提供用于实现上述沉积工艺的程序指令。程序指令可以控制各种处理参数,例如DC功率电平、RF偏置功率电平、压强、温度等等。根据本文描述的各种实施方案,指令可以控制参数以操作膜堆叠的原位沉积。
系统控制器750通常将包括一个或多个存储器设备以及被配置为执行指令的一个或多个处理器,使得该设备将执行根据所公开的实施方案的方法。包含根据所公开实施方案的用于控制处理操作的指令的机器可读介质可以耦合到系统控制器750。
在一些实现方式中,系统控制器750是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理装置,半导体处理装置包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,系统控制器750可以被编程以控制本文公开的任何工艺,任何工艺包括工艺气体的输送、温度设置(例如加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、进出工具和其他输送工具和/或连接到特定系统或与特定系统接口的装载锁的晶片输送。
广义地说,系统控制器750可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用终点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式输送到系统控制器750或系统的指令,单独设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片执行特定工艺的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实施方案中,系统控制器750可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,系统控制器750可以在“云”中或在晶片厂(fab)主机系统的全部或一部分中,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、从多个制造操作研究趋势或性能度量,以改变当前处理的参数、设置要跟随当前处理的处理步骤、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户接口,然后将该参数和/或设置从远程计算机输送到系统。在一些示例中,系统控制器750接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,系统控制器750被配置为与该工具接口或控制该工具。因此,如上所述,系统控制器750可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个离散系统控制器750而呈分布式。用于这种目的的分布式控制器的示例将是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通信的在室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、原子层清洁(ALC)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
在2011年4月11日提交的标题为“PLASMA ACTIVATED CONFORMAL FILMDEPOSITION”的美国专利申请No.13/084,399(现为美国专利No.8,728,956)和2011年4月11日提交的标题为“SILICON NITRIDE FILMS AND METHODS”的美国专利申请No.13/084,305中进一步讨论和描述了用于执行本文公开的方法的合适装置,其各自的全部内容并入本文。
上文所述的装置/处理可结合光刻图案化工具或工艺使用,例如用于制备或制造半导体器件、显示器、LED、光伏板等。典型地,虽然不一定,但是这样的工具/处理将在共同的制造设施中执行或使用。对膜进行光刻图案化通常包括以下操作中的一些或全部,每个操作使用许多可能的工具实现:(1)使用旋涂或喷涂工具在工件(即衬底)上涂覆光致抗蚀剂;(2)使用热板或炉或UV固化工具固化光致抗蚀剂;(3)用诸如晶片步进机之类的工具将光致抗蚀剂暴露于可见光或UV光或X射线光;(4)使抗蚀剂显影以选择性地除去抗蚀剂,从而使用诸如湿台之类的工具使其图案化;(5)通过使用干式蚀刻工具或等离子体辅助蚀刻工具将抗蚀剂图案转移到底层膜或工件中;和(6)使用诸如RF或微波等离子体抗蚀剂剥离器之类的工具除去抗蚀剂。
结论
尽管为了清楚理解的目的已经相当详细地描述了前述实施方案,但是显而易见的是,可以在所附权利要求的范围内实施某些改变和修改。应该注意的是,存在实现本实施方案的工艺、系统和装置的许多替代方式。因此,本实施方案被认为是说明性的而非限制性的,并且实施方案不限于这里给出的细节。

Claims (27)

1.一种处理半导体衬底的方法,所述方法包括:
(a)向室提供所述半导体衬底,所述半导体衬底包含具有含碳特征图案的含碳材料;以及
(b)为所述半导体衬底提供氧化剂以产生饱和单层,或通过还原方法将碳基材料转化为具有挥发性副产物的烃;
(c)高能激活所述饱和单层或所述烃以释放所述挥发性副产物。
2.根据权利要求1所述的方法,其中,所述饱和单层是通过使用氧化剂使所述衬底表面饱和而形成的。
3.根据权利要求1所述的方法,其中所述碳基材料包括浮渣。
4.根据权利要求1所述的方法,其中释放所述挥发性副产物包括去除浮渣。
5.根据权利要求1所述的方法,所述氧化剂选自由氧气(O2)、一氧化二氮(N2O)、二醇类、水、臭氧(O3)、醇类、酯类、酮类、羧酸类组成的组。
6.根据权利要求1所述的方法,其中(b)为所述半导体衬底提供氧化剂包括在关闭吹扫气流,等离子体和惰性气流的同时打开含氧气体。
7.根据权利要求1所述的方法,其中(c)高能激活包括在惰性气体存在下点燃等离子体。
8.根据权利要求1所述的方法,其中(c)高能激活包括打开惰性气体流和等离子体,同时关闭吹扫气流和含氧气体,打开惰性气体流和等离子体,同时关闭吹扫气流和含氧气体。
9.根据权利要求1所述的方法,其中所述烃具有CXHY的化学式,其中x和y为大于或等于1的整数。
10.根据权利要求9的方法,其中所述烃包括甲烷(CH4),乙炔(C2H2),乙烯(C2H4),乙烷(C2H6)或其混合物。
11.根据权利要求1所述的方法,其中所述含碳特征包括选自由旋涂碳、光致抗蚀剂和无定形碳组成的组的材料。
12.根据权利要求1所述的方法,其中所述室被设定为介于约0.1托和约0.5托之间的室压强。
13.根据权利要求1所述的方法,其中,使用大约10W至50W的等离子体功率点燃所述等离子体。
14.根据权利要求1所述的方法,其中所述挥发性副产物选自由一氧化碳(CO)、二氧化碳(CO2)和具有化学式CXHY的烃组成的组,例如甲烷(CH4),乙炔(C2H2),乙烯(C2H4)或乙烷(C2H6)。
15.根据权利要求1所述的方法,其中所述高能激活包括从电容耦合反应器(CCP),电感耦合反应器(ICP)或基于热,基于紫外线或基于光子的源提供等离子体。
16.根据权利要求1所述的方法,其中完成所述(b)和所述(c)包含每个循环
Figure FDA0003931318720000021
Figure FDA0003931318720000022
的蚀刻速率。
17.根据权利要求1所述的方法,进一步包括:(d),在(b)之后,提供惰性气体持续在0.5秒到1秒之间以去除所述氧化剂、还原剂或释放的副产物,其中所述惰性气体包含氩,氦,氮,氖或真空。
18.根据权利要求2的方法,进一步包括:(e),在(c)之后,提供惰性气体持续在0.5秒到1秒之间以去除所述氧化剂、还原剂或释放的副产物,其中所述惰性气体包含氩,氦,氮,氖或真空。
19.一种处理衬底的方法,该方法包括:
(a)向室提供包含含碳特征的衬底;
(b)给所述衬底投配氧化剂或还原剂,以使所述含碳特征表面的浮渣改性;
(c)除去所述氧化剂或挥发性副产物;
(d)在等离子体存在下将改性的所述浮渣暴露于惰性气体中;
(e)去除所述氧化剂或挥发性副产物。
20.根据权利要求19所述的方法,所述氧化剂选自由氧气(O2)、一氧化二氮(N2O)、二醇类、水、臭氧(O3)、醇类、酯类、酮类、羧酸类组成的组。
21.根据权利要求19所述的方法,其中给所述衬底投配氧化剂或还原剂使含碳特征表面上的浮渣改性。
22.根据权利要求19所述的方法,其中所述含碳特征包括选自由旋涂碳、光致抗蚀剂和无定形碳组成的组的材料。
23.根据权利要求19所述的方法,其中(b)给所述衬底投配氧化剂包括打开含氧气体,同时关闭吹扫气体、惰性气体和等离子体。
24.根据权利要求19所述的方法,其中(c)去除所述氧化剂或挥发性副产物包括在关闭含氧气体、惰性气体和等离子体的同时打开吹扫气体0.5秒至1秒之间。
25.根据权利要求19所述的方法,其中(d)将改性的所述浮渣暴露于惰性气体中包括在等离子体压强大于0.1托,小于10托且功率小于200W下打开惰性气体和等离子体,同时关闭吹扫气体和含氧气体。
26.根据权利要求19所述的方法,其中(d)将改性的所述浮渣暴露于惰性气体中包括不施加偏压。
27.根据权利要求19所述的方法,其中(e)去除所述氧化剂或挥发性副产物包括在关闭含氧气体、惰性气体和等离子体的同时打开吹扫气体0.5秒至1秒。
CN202211389293.8A 2017-07-19 2018-07-13 除去光致抗蚀剂图案化浮渣的原子层清洁 Pending CN115793404A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/654,612 US10494715B2 (en) 2017-04-28 2017-07-19 Atomic layer clean for removal of photoresist patterning scum
US15/654,612 2017-07-19
CN201880047574.0A CN110892332B (zh) 2017-07-19 2018-07-13 除去光致抗蚀剂图案化浮渣的原子层清洁
PCT/US2018/042024 WO2019018227A1 (en) 2017-07-19 2018-07-13 ATOMIC LAYER CLEANING FOR THE ELIMINATION OF PHOTOSENSITIVE RESIN PATTERN FORMATION

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201880047574.0A Division CN110892332B (zh) 2017-07-19 2018-07-13 除去光致抗蚀剂图案化浮渣的原子层清洁

Publications (1)

Publication Number Publication Date
CN115793404A true CN115793404A (zh) 2023-03-14

Family

ID=63916017

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201880047574.0A Active CN110892332B (zh) 2017-07-19 2018-07-13 除去光致抗蚀剂图案化浮渣的原子层清洁
CN202211389293.8A Pending CN115793404A (zh) 2017-07-19 2018-07-13 除去光致抗蚀剂图案化浮渣的原子层清洁

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201880047574.0A Active CN110892332B (zh) 2017-07-19 2018-07-13 除去光致抗蚀剂图案化浮渣的原子层清洁

Country Status (6)

Country Link
US (1) US10494715B2 (zh)
JP (1) JP2020527856A (zh)
KR (1) KR20200022046A (zh)
CN (2) CN110892332B (zh)
TW (1) TW201908516A (zh)
WO (1) WO2019018227A1 (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US11450513B2 (en) 2018-03-30 2022-09-20 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
US10658180B1 (en) * 2018-11-01 2020-05-19 International Business Machines Corporation EUV pattern transfer with ion implantation and reduced impact of resist residue
US10847429B1 (en) * 2019-10-17 2020-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of detecting photoresist scum, method of forming semiconductor package and photoresist scum detection apparatus
US20220406592A1 (en) * 2021-06-18 2022-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method of forming the same
KR20230147295A (ko) 2022-04-14 2023-10-23 주식회사 올도완 포토레지스트 파티클 제거방법

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
JPH06326060A (ja) 1993-05-12 1994-11-25 Hitachi Ltd 固体表面加工方法
US6517602B2 (en) * 2000-03-14 2003-02-11 Hitachi Metals, Ltd Solder ball and method for producing same
US6562700B1 (en) * 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US7067407B2 (en) * 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7018469B2 (en) * 2003-09-23 2006-03-28 Micron Technology, Inc. Atomic layer deposition methods of forming silicon dioxide comprising layers
US7365026B2 (en) 2005-02-01 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. CxHy sacrificial layer for cu/low-k interconnects
US20070095367A1 (en) 2005-10-28 2007-05-03 Yaxin Wang Apparatus and method for atomic layer cleaning and polishing
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US8481423B2 (en) 2007-09-19 2013-07-09 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics
JP5085595B2 (ja) * 2008-09-08 2012-11-28 株式会社東芝 コアシェル型磁性材料、コアシェル型磁性材料の製造方法、デバイス装置、およびアンテナ装置。
US7759239B1 (en) * 2009-05-05 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of reducing a critical dimension of a semiconductor device
JP5813303B2 (ja) * 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20120100308A1 (en) * 2010-10-25 2012-04-26 Asm America, Inc. Ternary metal alloys with tunable stoichiometries
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US8809994B2 (en) 2011-12-09 2014-08-19 International Business Machines Corporation Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US8969997B2 (en) 2012-11-14 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structures and methods of forming the same
US8927989B2 (en) 2012-11-28 2015-01-06 International Business Machines Corporation Voltage contrast inspection of deep trench isolation
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US9362163B2 (en) * 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
KR102394994B1 (ko) 2013-09-04 2022-05-04 도쿄엘렉트론가부시키가이샤 유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리
US9257431B2 (en) * 2013-09-25 2016-02-09 Micron Technology, Inc. Memory cell with independently-sized electrode
US20170243737A1 (en) 2014-03-26 2017-08-24 Toray Industries, Inc. Method for manufacturing semiconductor device and semiconductor device
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9768033B2 (en) 2014-07-10 2017-09-19 Tokyo Electron Limited Methods for high precision etching of substrates
WO2016033087A1 (en) 2014-08-28 2016-03-03 Cardiac Pacemakers, Inc. Display of temporally aligned heart information from separate implantable medical devices on an extracorporeal display
US9520294B2 (en) 2014-08-29 2016-12-13 Applied Materials, Inc. Atomic layer etch process using an electron beam
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
JP2017022368A (ja) 2015-06-05 2017-01-26 ラム リサーチ コーポレーションLam Research Corporation GaN及びその他のIII−V材料の原子層エッチング
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
KR20170050056A (ko) 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography

Also Published As

Publication number Publication date
KR20200022046A (ko) 2020-03-02
CN110892332A (zh) 2020-03-17
WO2019018227A1 (en) 2019-01-24
US10494715B2 (en) 2019-12-03
CN110892332B (zh) 2022-11-29
TW201908516A (zh) 2019-03-01
US20180312973A1 (en) 2018-11-01
JP2020527856A (ja) 2020-09-10

Similar Documents

Publication Publication Date Title
CN110892332B (zh) 除去光致抗蚀剂图案化浮渣的原子层清洁
JP7460727B2 (ja) パターニング用途のための原子層エッチング、反応性前駆体、及びエネルギ源
US9997371B1 (en) Atomic layer etch methods and hardware for patterning applications
CN107045969B (zh) 用于图案化非挥发性金属的室
JP2018182322A (ja) 原子層エッチングのリセットを伴う選択的堆積
JP2018074145A (ja) 半導体パターニング用途のための高ドライエッチング速度材料
KR20150103642A (ko) 금속 산화물 막 및 금속 질화물 막의 표면 거칠기를 감소시키기 위한 rf 사이클 퍼지
JP2015159282A (ja) コンフォーマルな窒化アルミニウムに関する高い成長速度のプロセス
KR20200043499A (ko) 웨이퍼 대 웨이퍼 막 두께 매칭을 위해 챔버 축적물의 함수로서 증착 사이클들의 수의 조절에 의한 두께 보상
CN111886689A (zh) 无倒角通孔集成方案
JP2021512504A (ja) マルチプルパターンニング処理での原子層堆積を使用するスペーサプロファイル制御
CN111819659A (zh) 基于蚀刻残渣的抑制剂的选择性处理
CN113891954A (zh) 通过高功率脉冲低频率rf产生的高选择性、低应力、且低氢的类金刚石碳硬掩模
CN113166935A (zh) 利用间歇调节性清扫的产能提高
US20220351940A1 (en) Plasma-enhanced atomic layer deposition with radio-frequency power ramping
WO2020028119A1 (en) Non-selective and selective etching through alternating layers of materials
CN113302716A (zh) 针对3d nand集成具有改善的蚀刻选择性的氮化物膜
TW202238685A (zh) 利用寬間隙電極間距在低壓力條件下之高選擇性、低應力、及低氫碳硬遮罩
CN115244664A (zh) 用于减少euv图案化缺陷的多层硬掩模

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination