KR20200022046A - 포토레지스트 패터닝 스컴 (scum) 의 제거를 위한 원자 층 세정 - Google Patents

포토레지스트 패터닝 스컴 (scum) 의 제거를 위한 원자 층 세정 Download PDF

Info

Publication number
KR20200022046A
KR20200022046A KR1020207004764A KR20207004764A KR20200022046A KR 20200022046 A KR20200022046 A KR 20200022046A KR 1020207004764 A KR1020207004764 A KR 1020207004764A KR 20207004764 A KR20207004764 A KR 20207004764A KR 20200022046 A KR20200022046 A KR 20200022046A
Authority
KR
South Korea
Prior art keywords
carbon
plasma
scum
substrate
chamber
Prior art date
Application number
KR1020207004764A
Other languages
English (en)
Inventor
펄킷 아가월
프루쇼탐 쿠마
애드리언 라브아
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20200022046A publication Critical patent/KR20200022046A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Inorganic Chemistry (AREA)
  • Atmospheric Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

반도체 기판 상의 다른 피처들 또는 구조체들을 손상시키지 않고 패터닝 맨드릴 구조체들로부터 포토레지스트 패터닝 스컴을 제거하기 위한 방법들 및 장치들이 패터닝 정밀도를 위해 바람직하다. 방법들은 피처 임계 치수들을 실질적으로 수정하지 않고 탄소-함유 피처들을 디스커밍하기 위해 ALC (Atomic Layer Cleaning) 프로세스에 의해 반도체 기판 상의 탄소-함유 피처들을 세정하는 단계를 수반한다. ALC 프로세스는 탄소-함유 피처들의 표면 상의 스컴을 개질하기 위해 플라즈마, 또는 다른 에너제틱 활성화 없이 산화제 또는 환원제에 탄소-함유 피처들을 노출시키는 단계를 수반한다. 탄소-함유 피처들의 표면 상의 개질된 스컴은 이후 탄소-함유 피처들의 표면으로부터 개질된 스컴을 제거하기 위해 0.1 Torr 내지 10 Torr의 압력 및 200 W보다 낮은 전력에서 점화된 플라즈마와 함께 불활성 가스에 노출된다.

Description

포토레지스트 패터닝 스컴 (scum) 의 제거를 위한 원자 층 세정
본 출원은 2017년 7월 19일에 출원된, 명칭이 "ATOMIC LAYER CLEAN FOR REMOVAL OF PHOTORESIST PATTERNING SCUM"인 미국 특허 출원 번호 제 15/654,612 호의 이익을 주장하고, 2017년 4월 28일에 출원된, 명칭이 "ATOMIC LAYER ETCH METHODS AND HARDWARE FOR PATTERNING APPLICATIONS"인 미국 특허 출원 번호 제 15/582,359 호의 일부 계속 출원이고 우선권을 주장하며, 이들 모두는 전체 내용이 모든 목적들을 위해 본 명세서에 참조로서 인용된다.
집적 회로의 제조는 종종 반도체들의 대량 제작에서 작은 피처들의 패터닝을 수반한다. 패터닝 동작들 동안 포토레지스트의 리소그래픽 (lithographic) 프로세싱에 이어서 재료의 불완전한 애싱 (ashing) 은 패터닝 맨드릴 (mandrel) 구조체들상에 “스컴 (scum)”이라고 일컬어지는 잔여물들을 남길 수 있다. 반도체 기판 상의 다른 피처들 또는 구조체들을 손상시키지 않는 스컴 제거, 또는 “디스커밍 (descumming)” 은 패터닝 정확도를 위해 바람직하다.
본 명세서에 제공된 것은 반도체 기판들을 프로세싱하는 방법들이다. 일 양태는 반도체 기판을 프로세싱하는 방법을 수반하고, 방법은: (a) 탄소-함유 피처들의 패턴을 갖는 탄소-함유 재료를 포함하는 반도체 기판을 챔버에 제공하는 단계; 및 (b) 피처 임계 치수들 (critical dimensions) 을 실질적으로 수정하지 않고 탄소-함유 피처들을 디스커밍 (descum) 하기 위해 ALC (Atomic Layer Cleaning) 프로세스에 의해 탄소-함유 피처들을 세정하는 단계를 포함하고, ALC 프로세스는: (i) 탄소-함유 피처들의 표면 상의 스컴을 개질하기 위해 플라즈마 또는 다른 에너제틱 활성화 (energetic activation) 가 없이 산화제 또는 환원제에 탄소-함유 피처들을 노출시키는 단계; 및 (ii) 탄소-함유 피처들의 표면으로부터 개질된 스컴을 제거하기 위해 탄소-함유 피처들의 표면 상의 개질된 스컴을 불활성 가스에 노출시키고, 0.1 Torr보다 크고 10 Torr보다 낮은 압력에서 그리고 200 W보다 낮은 전력에서 플라즈마를 점화하는 단계를 포함한다.
일부 실시예들에서, 산화제는 산소 (O2), 아산화질소 (N2O), 디올들 (diols), 물, 오존 (O3), 알코올들, 에스테르들 (esters), 케톤들 (ketones), 카복실 산들 (carboxylic acids) 로 구성되는 그룹으로부터 선택된다.
일부 실시예들에서, 산화제를 반도체 기판에 도징 (dosing) 하는 것은 포화된 단층을 생성하기 위해 반도체 기판을 산화하여 포화시킨다.
일부 실시예들에서, 탄소-함유 피처들의 환원제로의 노출은 x 및 y가 1 이상의 정수들인 CXHY의 화학식을 갖는 하이드로카본으로 탄소-함유 피처들의 탄소를 변환한다.
일부 실시예들에서, 불활성 가스는 헬륨, 질소, 아르곤, 네온으로 구성되는 그룹으로부터 선택된다.
일부 실시예들에서, 탄소-함유 피처들은 스핀-온 (spin-on) 탄소, 포토레지스트, 또는 비정질 탄소로 구성되는 그룹으로부터 선택되는 재료를 포함한다.
챔버는 약 0.1 Torr 내지 약 0.5 Torr의 챔버 압력으로 설정될 수도 있다. 일부 실시예들에서, 플라즈마는 약 10 W 내지 50 W의 플라즈마 전력을 사용하여 점화된다.
챔버는 단계 (b) 의 단계 (i) 이후 불활성 가스 또는 진공으로 퍼지될 수도 있다. 일부 실시예들에서, 챔버를 퍼지하는 것은 산화제들, 환원제들, 또는 유리된 (liberated) 부산물들로 구성되는 그룹으로부터 선택된 임의의 하나 이상을 제거한다.
탄소-함유 피처들을 점화된 플라즈마에 노출시키는 단계는 휘발성 부산물들을 유리시키기 위해 포화된 단층을 에너제틱 활성화시킬 수도 있다. 일부 실시예들에서, 휘발성 부산물들은 일산화탄소 (CO), 이산화탄소 (CO2), 및 메탄 (CH4), 아세틸렌 (C2H2), 에틸렌 (C2H4), 또는 에탄 (C2H6) 과 같은 CXHY의 화학식을 갖는 하이드로카본들로 구성되는 그룹으로부터 선택된다.
일부 실시예들에서, 에너지 플라즈마 활성화의 방법들은 CCP (Capaively Coupled reactor), ICP (Inductively Coupled reactor) 에 의해 제공되거나 열-기반, 자외선-기반, 또는 광자-기반이다.
챔버는 산화제들, 환원제들, 또는 유리된 부산물들을 제거하기 위해 점화된 플라즈마에 의해 포화된 단층의 에너제틱 활성화 후 불활성 가스 또는 진공으로 퍼지될 수도 있다.
일부 실시예들에서, 탄소-함유 피처들의 표면 상의 스컴은 두께가 3 Å보다 작다.
또 다른 양태는 프로세스 챔버 각각이 척을 갖는, 하나 이상의 프로세스 챔버들을 포함하는 장치를 수반한다. 장치는 프로세스 챔버들 내로의 하나 이상의 가스 유입구들 및 연관된 플로우-제어 하드웨어, 그리고 프로세서 및 메모리를 갖는 제어기를 더 포함할 수도 있다. 프로세서 및 메모리는 서로 통신 가능하게 연결될 수도 있다. 또한, 프로세서는 플로우-제어 하드웨어와 동작 가능하게 연결될 수도 있다. 메모리는 산화제 또는 환원제를 프로세스 챔버들 중 적어도 하나 내에 하우징된 반도체 기판을 도징함으로써 플로우-제어 하드웨어를 제어하기 위해 프로세서를 제어하기 위한 컴퓨터-실행 가능한 인스트럭션들을 저장할 수도 있다. 산화제로 도징하는 것은 포화된 단층을 생성하기 위해 반도체 기판을 산화하여 포화시킬 수도 있다. 대안적으로, 환원제로 도징하는 것은 반도체 기판 내의 탄소-기반 재료들을 휘발성 부산물들과 함께 하이드로카본들로 변환할 수도 있다. 플로우-제어 하드웨어를 제어하기 위해 프로세서를 제어하기 위한 인스트럭션들은: 산화제 또는 환원제를 제거하고, 그리고/또는 휘발성 부산물들을 유리시키기 위해 프로세스 챔버를 퍼징하는 단계; 활성화된 포화된 단층을 제거하기 위해 포화된 단층에 방향성 (directional) 플라즈마를 인가함으로써 포화된 단층을 활성화하는 단계; 및 포화된 단층의 활성화 및 제거에 이어서 프로세스 챔버를 퍼징하는 단계를 더 포함할 수도 있다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 추가로 기술된다.
도 1a 내지 도 1i는 ALC (Atomic Layer Clean) 프로세스를 겪는 다양한 단계들에서 반도체 기판들의 개략적인 예시들이다.
도 2는 ALE (Atomic Layer Etch) 프로세스의 예의 개략적인 예시이다.
도 3은 개시된 실시예들에 따라 수행된 동작들의 프로세스 흐름도이다.
도 4는 개시된 실시예들에 따라 수행된 동작들의 또 다른 프로세스 흐름도이다.
도 5는 특정한 개시된 실시예들에 따라 수행된 ALC 동작들의 예를 도시하는 타이밍 개략도이다.
도 6은 특정한 개시된 실시예들을 수행하기 위한 예시적인 프로세스 툴의 개략도이다.
도 7은 특정한 개시된 실시예들을 수행하기 위한 또 다른 예시적인 프로세스 툴의 개략도이다.
이하의 기술 (description) 에서, 제시된 실시예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 개시된 실시예들은 이들 구체적 상세들의 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 개시된 실시예들이 구체적 실시예들과 함께 기술될 것이지만, 이는 개시된 실시예들을 제한하도록 의도되지 않았다는 것이 이해될 것이다.
반도체 프로세싱에서 박막들의 패터닝은 반도체 디바이스들의 제작 및 제조에서 사용된다. 패터닝 동작들 동안 포토레지스트의 리소그래픽 프로세싱에 이어지는 재료의 불완전한 애싱은 패터닝 맨드릴 구조체들 상에 "스컴" 이라고 일컬어지는 잔여물들을 남길 수 있다. 반도체 기판 상의 다른 피처들 또는 구조체들을 손상시키지 않는 스컴 제거, 또는 "디스커밍" 은 패터닝 정밀도를 위해 바람직하다. 디스커밍 (descumming) (또는 "디스커밍 (descum)") 은 패터닝 맨드릴 구조체들과 같은 탄소-함유 웨이퍼 피처들 사이에서 바람직하지 않은 탄소 재료를 제거하는 프로세스를 지칭한다. 통상적으로, 패터닝 맨드릴들은 탄소-기반이고, 포토레지스트 (PR) 로서 지칭될 수도 있다. PR의 유형들은 네거티브, 포지티브, EUV (Extreme Ultraviolet), 또는 SOC (Spin-On-Carbon), 또는 SOH (Spin-On-Hardmask) 를 포함한다. 푸팅들 (footings), 스트링거들 (stringers), 또는 다른 잔여 재료들을 포함하는 스컴은 맨드릴 구조체들의 연결에 의해 반도체 피처들, 구조체들, 또는 맨드릴들의 패터닝에 위험을 제기한다. 따라서, 스컴의 제거는 더블 (double), 쿼드 (quad), 또는 멀티-패터닝 어플리케이션들과 같은 패터닝 방법들을 개선할 수 있다.
스컴의 제거를 위한 종래 기법들은, 예를 들어 플라즈마 인가 동작 동안 이온-유도된 손상으로 인해 기본 탄소-함유 피처들에 등방성 손상을 야기할 수도 있다. 본 명세서에 기술된 바와 같이, ALC (Atomic Layer Cleaning) 는 PR 맨드릴과 같은 탄소-함유 피처 상의 규정된 두께의 표면의 에칭을 개선, 또는 개량하는 방법을 제안한다. ALC는 웨이퍼의 기본 탄소-함유 피처들을 손상시키지 않으면서 스컴을 제거하기 위해 목표된 반응들과 경쟁하는 목표되지 않은 반응들, 예를 들어, 이온-유도된 손상, 결함 발생, 등과 같은 손상 반응들 사이의 관계들을 레버리지한다 (leverage).
본 명세서에 제공된 것은 다이 (die), 웨이퍼 내, 그리고 로트부터 로트로, 패터닝 정밀도를 개선하도록 스컴 제거를 위해 ALC를 채용하는 방법들이다. 이러한 기법들은 피처 임계 치수들을 실질적으로 수정하지 않고 스컴을 우선적으로 제거한다. 개시된 실시예들은 패터닝 정확도를 개선하기 위해 PR 스컴을 제거한다.
도 1a는 패터닝 맨드릴 구조체, 예를 들어 맨드릴 (100) 과 맨드릴 (100) 상의, 또는 맨드릴 (100) 으로부터 연장하는 포토레지스트 (PR) 스컴 (102) 의 사시도이다. 스컴 (102) 은 도 1b에 도시된 바와 같이, 맨드릴 (100) 으로부터 ALC (Atomic Layer Clean) 프로세스에 의해 제거된다. 도 1c 및 도 1d는 기판 (106) 상에 형성된 맨드릴들 (100) 의 어레이 (array) (104) 의 단면 측면도들을 도시한다. 당업자는 도 1g에 보다 상세하게 도시된 바와 같이, 기판 (106) 이 에칭 정지 층들, 캡 층들, 배리어 층들, 및 다른 하부 층들과 같은 다른 층들을 또한 포함할 수도 있는 반도체 프로세싱에 적합한 멀티-층 스택을 포함할 수도 있다는 것을 인식할 것이다.
푸팅들, 스트링거들, 또는 리소그래피 후 기판 상에 남아있는 바람직하지 않은 기판 표면 거칠기의 다른 형태들과 같은 목표되지 않은 탄소-기반 재료는, 본 명세서에서 "스컴" 예를 들어 스컴 (102) 으로서 집합적으로 지칭된다. 일부 실시예들에서, 스컴 (102) 은 탄소-함유 피처로서 또한 지칭되는 맨드릴 (100) 과 유사하거나 동일한 레벨의 탄소를 포함할 수도 있다. 도 1c에 도시된 바와 같이, 몇몇의 맨드릴들 (100) 은 어레이 (104) 에서 서로 인접하게 지향될 수도 있다. 스컴 (102) 은 리소그래피 후 기판 (106) 상에 남아있음으로써 어레이 (104) 로, 예를 들어 조직되고 위치될 때, 맨드릴 (100) 을 연결하는 패터닝 위험을 제기할 수도 있다. 스컴 (102) 에 의한 맨드릴들 (100) 의 이러한 연결은 바람직하지 않고, 따라서 스컴 (102) 은, 예를 들어 도 2 내지 도 5를 참조하여 도시되고 기술된 바와 같이 ALC 프로세스에 의해 세정되고, 도 1d에 도시된 바와 같이 스컴 (102) 으로부터 자유로운 맨드릴들 (100) 의 어레이 (104) 를 남긴다.
일부 실시예들에서, 스컴 (102) 은 도 1a에 도시된 바와 같이 맨드릴 (100) 에 걸쳐 상대적으로 확산되는 PR 개발 프로세스의 잔여물이고, 따라서 맨드릴 상에 박층을 형성한다. 이러한 스컴 (102) 은 따라서 비교적 높은 표면적 대 부피 비를 가지며, 따라서 ALC 프로세스에 의한 제거에 보다 민감할 수도 있다. 또한, 일부 실시예들에서, 스컴 (102) 은 일반적으로 스컴 (102) 와 동일한 재료로 형성되는 맨드릴 (100) 과 통합되거나, 맨드릴 (100) 내일 수도 있다. 따라서, 스컴 (102) 은 맨드릴 (100) 의 바람직하지 않은 돌출로 여겨질 수도 있다.
ALC의 이해가 2017년 4월 21일에 출원된, 명칭이 "ETCHING SUBSTRATES USING ALE AND SELECTIVE DEPOSITION"인 미국 특허 출원 번호 제 15/494,245 호, 및 2017년 4월 28일에 출원된, 명칭이 "ATOMIC LAYER ETCH METHODS AND HARDWARE FOR PATTERNING APPLICATIONS" 인 미국 특허 출원 번호 제 15/582,359 호에 기술된, ALE (Atomic Layer Etch) 프로세스들의 이해에 의해 용이하게 될 수도 있고, 이들 전체가 참조로서 인용된다. ALC는 바람직한 결과들, 예를 들어 기판 (106) 상의 맨드릴들 (100) 위, 또는 맨드릴들 (100) 사이로부터 스컴 (102) 의 완전한 제거가 달성될 때까지 반복될 수도 있다.
ALC의 의도된 사용이 ALE로부터 상이할 수도 있고, ALE는 통상적으로 도 1a 내지 도 1d에서 도시된 기판 (106) 상의 맨드릴들 (100) 과 같은 기판 상의 특정한 피처 또는 구조체의 CD (Critical Dimension) 를 감소시키기 위해 수행될 수도 있다. 종래의 리소그래픽 프로세싱 기법들이 목표된다면 CD를 정밀하게 제어하는 것이 불가능할 수도 있고, 따라서 ALE가 그 과제를 적절하게 해결한다. 본 명세서에 논의된 바와 같이, ALE와 대조적으로 ALC는 주로 PR 프로세스의 잔여물로서 발전할 수도 있는 스컴 (102) 과 같은 스컴을 세정하거나 제거하는 것을 지향한다.
따라서, ALC는 기판 또는 기판 위의 피처로부터 스컴의 보다 나은 타겟팅 및 제거를 위해, ALC 프로세스들에서 방향성을 강조하는 프로세스 파라미터들 및 동작들을 채택할 수도 있다.
일부 실시예들에서, ALC는 도 3 및 도 4에서 추가로 도시된, 플라즈마 또는 다른 에너제틱 활성화 없이 산화제 또는 환원제에 탄소-함유 피처들, 예를 들어 기판 (106) 상의 맨드릴들 (100) 을 노출시키는 단계를 수반하는 일반적 프로세스 흐름을 가질 수도 있다. 탄소-함유 피처들은 스핀-온 탄소, 포토레지스트, 및 비정질 탄소와 같은 재료를 포함할 수도 있다. 산화제 또는 환원제로의 탄소-함유 피처들의 노출은 탄소-함유 피처들의 표면 상의 스컴 (102) 을 개질한다. 일부 실시예들에서, 개질 및 후속 제거를 위해 확인된 스컴은 3 Å와 같은 명시된 두께보다 작거나, 또는 2 Å 내지 20 Å의 범위 내에 있을 수도 있다. 맨드릴들 (100), 또는 기판 (106) 의 표면 상에 개질된 스컴은 이후 맨드릴들 (100), 또는 기판 (106) 의 표면으로부터 개질된 스컴 (102) 을 제거하기 위해 불활성 가스 및 점화된 플라즈마에 노출된다. 일부 실시예들에서, 플라즈마는 0.1 Torr보다 크고 10 Torr보다 작은 압력 및 200 W보다 작은 전력에서 인가된다. 산화제들은 산소 (O2), 아산화질소 (N2O), 디올들, 물, 오존 (O3), 알코올들, 에스테르들, 케톤들, 카복실 산들로 구성되는 그룹으로부터 선택될 수도 있다. 불활성 가스는 헬륨, 질소, 아르곤, 네온 및 이들의 조합으로 구성되는 그룹으로부터 선택될 수도 있다.
산화제로 반도체 기판을 도징하는 것은 포화된 단층 (도 1a 내지 도 1g에 도시되지 않음) 을 생성하기 위해, 기판 (106) 상의 맨드릴들 (100) 을 포함하는 기판 (106) 표면을 산화하여 포화시킨다. 일부 실시예들에서, 맨드릴들 (100) 은 각각 일반적으로 200 Å보다 큰 임계 치수를 가질 수도 있다. 산화제는 스컴 (102) 을 제거하기 위해, 일산화탄소 (CO), 이산화탄소 (CO2), 및 메탄 (CH4) 과 같은 휘발성 부산물들을 유리시키기 위해 불활성 가스와 플라즈마에 노출되면 나중에 에너제틱 활성화되는 포화된 단층을 생성하도록, 토포그래피 (topography) 가 동등한 방식으로 기판을 포화시킬 수도 있다. 다른 휘발성 부산물들은 x 및 y가 1 이상의 정수들인 CxHy의 화학식을 가질 수도 있는 탄소-함유 종을 포함한다.
대안적으로, 환원 방법들은 메탄 (CH4), 아세틸렌 (C2H2), 에틸렌 (C2H4), 또는 에탄 (C2H6) 과 같은 CXHY의 화학식을 갖는 하이드로카본들로, 예를 들어 탄소-탄소 결합들을 갖는 탄소-기반 재료들을 포함하는 스컴 (102) 을 개질하기 위해 채택될 수도 있다. 하이드로카본들의 후속하는 에너제틱 활성화는 맨드릴들 (100) 또는 기판 (106) 으로부터 스컴 (102) 의 제거를 위해 휘발성 부산물들을 유리시킬 수 있다.
상기 기술된 ALC 프로세스들의 변형, 예를 들어, 포화된 단층을 생성하기 위해 산화제로 기판의 도징, 또는 스컴 (102) 의 탄소를 하이드로카본들로 변환하는 환원 방법들의의 사용은 기본 피처 임계 치수들을 실질적으로 수정하지 않고 탄소-함유 피처들, 예를 들어 기판 (106) 상의 맨드릴 (100) 들을 디스커밍할 수도 있다.
또한, 일부 실시예들에서, ALC 프로세스들은 산화제들, 환원제들 또는 환원 제제들, 및 유리된 부산물들을 제거하기 위해, 기판 (106) 으로부터 연장하는 맨드릴들 (100) 과 함께 기판 (106) 을 하우징하는 반응 챔버의 1 회 이상의 퍼지들을 수반할 수도 있다. 예를 들어, 산화제들, 환원제들 또는 환원 제제들, 및 유리된 부산물들을 제거하기 위해, 반응 챔버는 탄소-기반 재료들을 휘발성 부산물들과 함께 하이드로카본들로 변환하도록 환원 방법들의 사용, 또는 기판 (106) 의 산화제 도징 후 불활성 가스로 퍼지될 수도 있다. 반응 챔버는 산화제들, 환원제들 또는 환원 제제들, 및 유리된 부산물들을 다시 제거하기 위해, 포화된 단층의 에너제틱 활성화, 및 스컴 (102) 의 제거 후 불활성 가스로 다시 퍼지될 수도 있다. ALC 프로세스들은 도 3 내지 도 5를 참조하여 추가로 상세하게 도시되고 기술된다.
도 1c 및 도 1d를 다시 참조하면, 맨드릴 (100) 은 어레이 (104) 로 배열될 수도 있다. 도 1e 및 도 1f는 맨드릴 (100) 을 추가로 갖는 어레이 (104) 의 변형을 도시한다. 당업자는 기판 (106) 상에 맨드릴 (100) 의 다양한 배향들, 또는 구성들이 존재하고, 본 명세서에서 논의된 ALC 프로세스들이 다양한 구성들에 걸쳐 맨드릴들 (100) 로부터 스컴 (102) 을 제거하기 위해 채택될 수도 있다는 것을 인식할 것이다.
도 1g는 제 2 코어 (108), 제 3 코어 (110), 및 타겟 층 (112) 상에 리소그래피로 규정되고 패터닝된 제 1 코어 (116) 를 갖는 기판 (106) 을 도시한다. 당업자들은 본 명세서에 기술된 반도체 프로세싱에 적합한 멀티-층 스택이 에칭 정지 층들, 캡 층들, 배리어 층들, 및 다른 하부 층들과 같은 다른 층들을 또한 포함할 수도 있다는 것을 인식할 것이다.
맨드릴 (100) 각각은 탄소-함유 재료 및/또는 실리콘-함유 재료를 포함할 수도 있는 패터닝된 제 1 코어 (116) 를 포함할 수도 있다. 일부 실시예들에서, 패터닝된 제 1 코어 (116) 는 탄소 기반 PR (photoresist) 이다. 패터닝된 제 1 코어 (116) 는 리소그래피로 규정되고, 제 2 코어 (108) 를 에칭하기 위해 사용된다. 제 2 코어 (108) 는 PECVD (Plasma Enhanced Chemical Vapor Deposition) 와 같은 임의의 적합한 증착 기법에 의해 증착될 수도 있다. 증착은 하이드로카본 전구체, 또는 반응물질들을 포함하는 증착 가스들로부터 증착 챔버에서 플라즈마를 생성하는 것을 수반한다. 하이드로카본 전구체는 x가 2 내지 10의 정수이고, y가 2 내지 24의 정수인 CxHy의 화학식에 의해 규정될 수도 있다. 예들은 메탄 (CH4), 아세틸렌 (C2H2), 에틸렌 (C2H4), 프로필렌 (C3H6), 부탄 (C4H10), 사이클로헥산 (C6H12), 벤젠 (C6H6), 및 톨루엔 (C7H8) 을 포함한다. HF (High Frequency) 전력 및 LF (Low Frequency) 전력을 포함하는 듀얼 RF (Radio Frequency) 플라즈마 소스 (source) 가 사용될 수도 있다.
도 1g에 도시된 실시예에서, 제 2 코어 (108) 하단은 또한 PECVD에 의해 증착된 탄소-함유 재료일 수도 있는 제 3 코어 (110) 이다.
제 3 코어 (110) 하단은 타겟 층 (112) 이다. 일부 실시예들에서, 타겟 층 (112) 은 예를 들어, 맨드릴들 (100) 과 같은 맨드릴 구조체들의 패터닝에 의해 궁극적으로 패터닝되는 층일 수도 있다. 타겟 층 (112) 은 반도체 층, 유전체 층, 또는 다른 층일 수도 있고, 예를 들어 실리콘 (Si), 실리콘 산화물 (SiO2), 실리콘 질화물 (SiN), 또는 티타늄 질화물 (TiN) 로 이루어질 수도 있다. 타겟 층 (112) 은 ALD (Atomic Layer Deposition), PEALD (Plasma-Enhanced ALD), CVD (Chemical Vapor Deposition), 또는 다른 적합한 증착 기법에 의해 증착될 수도 있다.
도 1h 및 도 1i는 기판 (106) 의 상면도를 도시한다. 맨드릴들 (100) 의 보다 밝은 영역들은 다른 영역들에 비해 상승된 표면들을 나타낸다. 본 명세서에 논의된 ALC의 적용은 도 1i에 도시된 바와 같이, 맨드릴들 (100) 로부터, 또는 웨이퍼 (106) 로부터 스컴 (102) 을 제거하여, 세정된 맨드릴들 (100) 을 발생시킨다.
도 2는 순차적인 자기-제한 반응들을 사용하여 재료의 박층들을 제거하기 위한 예시적인 ALE (Atomic Layer Etch) 기법을 도시한다. 본 명세서에 개시된 바와 같이, ALC는, ALE에 대한 발전이고 일반적으로 ALE에 유사한 프로세스들과 원칙들을 채용하지만, 예를 들어 3 Å보다 적은, 재료의 목표된 두께를 제거하기 위해 특정한 프로세스 파라미터들, 또는 조건들을 요구한다. ALE의 배경 지식이 ALC를 설명하는데 도움이 된다.
일반적으로, ALE는 임의의 적합한 기법을 사용하여 수행될 수도 있다. ALE 기법들의 예들은 2014년 11월 11일에 허여된 미국 특허 제 8,883, 028 호; 및 2014년 8월 19일에 허여된 미국 특허 제 8,808,561 호에 기술되고, 이들은 예시적인 ALE 및 에칭 기법들을 기술할 목적을 위해 본 명세서에 참조로서 인용된다. ALD (Atomic Layer Deposition) 기법들과 통합된 ALE 기법들의 예들은 2017년 2월 21일에 허여된 미국 특허 제 9,576,811 호에 기술되고, 이는 본 명세서에 참조로서 인용된다. 다양한 실시예들에서, ALE는 플라즈마로 수행될 수도 있고, 또는 열로 수행될 수도 있다.
ALE는 사이클들로 수행된다. "사이클" 의 개념은 본 명세서의 다양한 실시예들의 논의와 관련이 있다. 일반적으로 ALE 사이클은 단층을 에칭하는 것과 같이, 에칭 프로세스를 한 번 수행하도록 사용된 동작들의 최소 세트이다. 일 사이클의 결과는 기판 표면 상의 막 층의 적어도 일부가 에칭되는 것이다. 통상적으로, ALE 사이클은, 반응성 층을 형성하기 위한 개질 동작에 이어서 이 개질된 층만을 제거하거나 에칭하는 제거 동작을 포함한다. 사이클은 반응물질들 또는 부산물들 중 하나를 스위핑 (sweeping) 하는 것과 같이 특정한 보조 동작들을 포함할 수도 있다.
일반적으로, ALE 사이클은 고유한 시퀀스 동작들의 일 예를 포함한다. 예로서, ALE 사이클은 이하의 동작들: (i) 반응물질 가스의 전달, (ii) 챔버로부터 반응물질 가스의 퍼징, (iii) 제거 가스 및 선택 가능한 플라즈마의 전달, 및 (iv) 챔버의 퍼징을 포함할 수도 있다. 일부 실시예들에서, 에칭이 비-컨포멀하게 (non-conformally) 수행될 수도 있다. 도 2는 ALE 사이클의 두 가지 예시적인 개략적 예시들을 도시한다. 도면들 (271a 내지 271e) 은 일반적인 ALE 사이클을 도시한다. (271a) 에서, 기판이 제공된다. (271b) 에서, 기판의 표면이 개질된다. (271c) 에서, 다음 동작이 준비된다. (271d) 에서, 개질된 층이 에칭된다. (271e) 에서, 개질된 층이 제거된다. 유사하게, 도면들 (272a 내지 272e) 은 탄소 함유 막을 에칭하기 위한 ALE 사이클의 예를 도시한다. (272a) 에서, 많은 탄소 원자들을 포함하는 탄소 함유 기판이 제공된다. (272b) 에서, 반응물질 가스 산소 (O2) 가 기판으로 도입되고 기판의 표면을 개질시킨다. 개략도 (272b) 에서 예로서 기판의 표면 상에 흡착되는 일부 산소를 도시한다. 산소가 도 2에 도시되었지만, 임의의 산소-함유 종 또는 적합한 반응물질들이 사용될 수도 있다. (272c) 에서, 반응물질 가스 산소는 챔버로부터 퍼지된다.
(272d) 에서, 제거 가스 아르곤이 Ar+ 플라즈마 종 및 화살표들에 의해 나타낸 바와 같이 방향성 플라즈마와 함께 도입되고, 기판의 개질된 표면을 제거하기 위해 이온 충격 (bombardment) 이 수행된다. 아르곤이 도 2에 도시되었지만, 헬륨, 질소, 아르곤, 및 이들의 조합들과 같은 다른 제거 가스들이 사용될 수도 있다는 것이 이해될 것이다. 제거 동안, 기판을 향해 이온들을 끌어당기기 위해 바이어스가 기판에 인가될 수도 있다. ALC 동안, 기판을 향해 목표된 정도의 이온 방향성을 달성하기 위해 종종 바이어스가 기판에 인가된다. 따라서, 이온들은 기판으로부터 스컴을 효과적으로 제거하는 것이 타겟팅될 수도 있다. (272e) 에서, 챔버는 퍼지되고 부산물들은 제거된다.
완전한 ALE 사이클은 재료의 약 0.1 nm 내지 약 50 nm, 또는 재료의 약 0.1 nm 내지 약 5 mm, 또는 재료의 약 0.2 nm 내지 약 50 nm, 또는 재료의 약 0.2 nm 내지 약 5 nm만을 부분적으로 에칭할 수도 있다. 일 사이클에서 에칭된 재료의 양은 에칭의 목적에 종속될 수도 있다; 예를 들어, 에칭된 재료의 양은 패턴을 형성하기 위해 탄소-함유 재료를 에칭한 후 패터닝된 탄소-함유 재료를 사용하여 에칭될, 층의 목표된 임계 치수, 예를 들어 3 Å 미만, 또는 2 Å 내지 20 Å의 범위 내로 종속된다.
도 3은 특정한 개시된 실시예들에 따른 방법에서 ALC 동작들을 수행하기 위한 프로세스 흐름도를 도시한다. 도 3의 동작 (306) 에서 도시된 바와 같이, ALC는 일반적으로 도 2에 도시된 바와 같은 ALE와 유사한 방식으로 발생하지만, 동작들 (308 및 310) 에서 기술된 특정한 동작 파라미터들, 또는 조건들로 발생한다. 즉, ALC는 동작 (308) 에 도시된 바와 같이, 플라즈마가 없이 산화제 또는 환원제에 반도체 기판 상의 탄소 함유 피처의 노출을 요구한다. 대조적으로, 앞선 ALE 방법들은 통상적으로 산화제에 노출되는 것이 아니라, 염소-기반 재료로 피처의 표면 상에 있는 재료의 개질을 수반하고, 이러한 재료는 재료를 제거하기 위해 활성화되고 휘발된다. 또한, 개질된 표면, 예를 들어 탄소-함유 피처들, 또는 맨드릴들 (100) 상의 스컴은 동작 (310) 에 나타낸 특정한 압력 및 전력 설정들의 플라즈마의 인가에 의해 제거된다. 바람직하지 않은 재료, 예를 들어 스컴 (102) 의 방향성 에칭, 또는 세정이 상대적으로 낮은 전력에서 인가된 이방성, 또는 방향성 플라즈마의 인가에 의해 달성되는 것에서 ALC는 ALE와 더 상이하다. 예를 들어 10 W 내지 125 W의 범위의 낮은 전력은, 제어된 에칭, 또는 세정을 허용할 수도 있다. 또한, 동작 (310) 에서 플라즈마를 생성하기 위해 채용된 이러한 He 및 N2로부터, 가스 혼합물들의 부분 압력들은, 예를 들어, ALC의 일부로서, 바람직한 에칭, 또는 세정, 프로파일을 달성하기 위해 제어될 수도 있다. 일반적으로, ALC 프로세스들은 ALE와 비교하여 바람직하지 않은 재료의 보다 박형인, 예를 들어 3 Å보다 작은 층을 제거하도록 사용된다. 또한, ALC 프로세스들은 보다 빠른 사이클 시간들을 달성하기 위해, ALE에 비해 보다 고압의 플라즈마를 사용하여 적용될 수도 있다.
도 3의 동작들은, 예를 들어 약 0.5 Torr 내지 약 6 Torr, 또는 약 1 Torr 내지 약 4 Torr, 또는 약 1 Torr 내지 약 2 Torr와 같은 약 100 mTorr보다 크고 약 6 Torr보다 작은 챔버 압력에서 수행될 수도 있다. 동작 (302) 에서, 기판 또는 웨이퍼가 증착 챔버와 같은 프로세싱 챔버에 제공된다. 챔버는, 예를 들어 도 6 및 도 7에 의해 도시된 것과 같이, 멀티-챔버 장치 또는 단일 챔버 장치의 챔버일 수도 있다. 기판은 기판 상에 증착된 유전체 재료, 전도성 재료, 또는 반전도성 재료와 같은 재료의 하나 이상의 층들을 갖는 웨이퍼들을 포함하는, 예를 들어, 200 mm 웨이퍼, 300 mm 웨이퍼, 또는 450 mm 웨이퍼인, 실리콘 웨이퍼일 수도 있다. 기판은 기판을 홀딩하기 위한 페데스탈 (pedestal) 상에 놓일 수도 있다. 페데스탈은 약 35 ℃ 내지 약 100 ℃의 온도로 설정될 수도 있다. 이 온도는 기판 온도로서 본 명세서에서 지칭될 수도 있지만, 기판 온도는 기판을 홀딩하는 페데스탈이 설정되는 온도인 것이 이해될 것이다.
일부 실시예들에서, 동작 (302) 에서 제공된 기판은 스핀 온 탄소, 비정질 탄소, 또는 포토레지스트와 같은 탄소-함유 재료를 포함한다. 기판은 기판 상에 이전에 증착되고 패터닝된, 패터닝된 마스크 층을 포함할 수도 있다.
다양한 실시예들에서, 기판 상의 층들은, 예를 들어 도 1a 내지 도 1g에 도시된 바와 같이 맨드릴들 (100) 을 형성하기 위해 패터닝된다. 기판들은, "피처들" 을 포함하는, 리소그래피로 규정되거나 하나 이상의 이전 에칭 프로세스들로부터 패터닝된 코어 재료로 패터닝 또는 에칭될 수도 있는 맨드릴들 (100) 과 같은 탄소-함유 피처들을 포함한다. 리소그래피로 규정된 것은 193 nm 리소그래피와 같은 포토리소그래피에 의해 패터닝된 것을 의미하며, 패턴들은 마스크 상의 광자 소스로부터 광자들을 방출하고 감광성 포토레지스트 상에 패턴을 프린팅함으로써 프린트되고, 이에 따라 패턴을 형성하기 위해 포토레지스트의 특정한 부분들을 제거하는 포토레지스트의 화학 반응을 유발한다.
일부 실시예들에서, 챔버로 제공된 기판은 탄소-함유 피처들의 리소그래피로 규정된 패턴을 포함한다. 본 명세서에서 사용될 때 피처들은 패터닝된 탄소-함유 재료의 포지티브 피처들을 지칭한다. 피치 (pitch) 는 피처들 간의 중심-중심 거리로서 규정된다. 피처들은 기판 표면 상에 이격되어 있고, 이에 따라 피처들 간의 공간들은 "트렌치들 (trenches)" 또는 "홀들 (holes)" 로서 지칭된다. 다양한 실시예들에서, 탄소-함유 피처들 아래의 기판은 배리어 층 또는 접착 층과 같은 하단 층을 포함할 수도 있다. 하단 층들의 비제한적인 예들은, 예를 들어 실리콘 산화물들, 실리콘 질화물들, 탄화 실리콘들, 금속 산화물들, 금속 질화물들, 탄화 금속들, 및 금속 층들인 유전체 층들 및 전도성 층들을 포함한다.
일부 실시예들에서, 피처들은 적어도 약 2:1, 적어도 약 4:1, 적어도 약 6:1, 적어도 약 10:1, 적어도 약 30:1, 또는 그보다 높은 종횡비를 갖는다. 일부 실시예들에서, 피처들은 약 6:1 내지 약 10:1의 종횡비를 갖는다. 개시된 방법들은 피처들 간의 트렌치들 또는 홀들의 개구부들의 폭들이 약 150 nm보다 작은 피처들을 갖는 기판들 상에 수행될 수도 있다.
동작 (304) 은 동작들 (308 및 310) 을 모두 포함하는 동작 (306) 에서 더 기술된 ALC 프로세스에 의해 기판 상의 피처들 예를 들어, 맨드릴들 (100) 의 세정을 개시한다. 도 3의 동작 (308) 에서, 기판은 기판 상의 탄소-함유 재료의, 예를 들어 맨드릴 (100) 상의 표면을 개질하기 위해 플라즈마를 점화하지 않고 산화제, 예를 들어 산소-함유 가스에 노출된다. 개질 동작 (308) 은 후속 제거 동작에서 개질되지 않은 재료보다 쉽게 제거되는 두께, 예를 들어 3 Å보다 작은 두께를 갖는 박형의, 반응성 표면 층을 형성한다. 다른 적합한 산화제들은 아산화질소 (N2O) 가스, 디올들, 물, 오존, 알코올들, 에스테르들, 케톤들, 및 카르복실 산들을 포함하지만, 이에 제한되지는 않는다. 기판의 산화제 도징은 기판 상에 포화된 단층을 생성하기 위해, 예를 들어 토포그래피가 동등한 방식으로 기판을 산화하여 포화시킨다. 일부 실시예들에서, 기판 내 탄소-함유 재료는 챔버 내로 산화제의 도입시 개질될 수도 있다. 산소는 탄소-함유 재료와 반응하지 않고 기판의 표면 상에 흡착될 수도 있다. 또한, 산소는 가스 형태로 챔버 내로 도입될 수도 있고, 헬륨, 질소, 아르곤, 네온 및 이들의 조합 중 어느 것일 수도 있는 캐리어 가스에 의해 선택 가능하게 동반될 수도 있다. 일부 실시예들에서, 산소는 질소와 함께 프로세스 챔버로 도입된다. 동작 (304) 은 산소 함유 가스와 함께 기판 표면의 완전한 포화를 얻도록 충분한 지속기간 동안 수행될 수도 있다. 일부 실시예들에서, 지속기간은 약 0.1 초일 수도 있다. 일부 실시예들에서, 지속기간은 약 0.1 초 내지 약 5 초일 수도 있고, 예컨대 약 0.5 초, 또는 약 1 초이다.
상기 기술된 바와 같이 스컴을 개질하기 위해 산화제로 기판을 도징하는 것에 대한 대안으로서, 일부 실시예들에서, 환원 방법들이, 예를 들어 탄소-탄소 결합들을 갖는 탄소-기반을 휘발성 부산물들과 함께 하이드로카본들로 변환하기 위해 채용될 수도 있다. 그러나, 일부 실시예들에서 산화 제제들은 환원 제제보다 균일하게 변환되도록 의도된 기판의 표면 상에 흡착되는 경향이 있다. 환원 방법들의 적용시 방출된 하이드로카본들은 CxHy의 화학식을 가질 수도 있고, x 및 y는 1 이상의 정수들이며, CH4, C2H2, C2H4, C2H6, 등을 포함한다.
동작 (310) 에서, 기판의 표면 상에 개질된 표면 및 기판 상의 피처들을 포함하는 기판은 불활성 가스에 노출되고, 플라즈마가 개질된 표면을 제거하기 위해 점화된다. 동작 (310) 에서 인가된 플라즈마는 13.56 ㎒ 또는 27 ㎒의 주파수로 헬륨-함유 플라즈마 또는 헬륨-유래된 플라즈마일 수도 있다. He 또는 N2로부터 생성되거나 유래된 플라즈마들이 또한 채용될 수도 있다. 플라즈마의 선택은 주어진 가스로부터 생성된 플라즈마와 연관된 리간드들 (ligands), 또는 공동-리간드들의 수에 따라 결정될 수도 있다. 예를 들어, 리간드들, 또는 공동-리간드들의 보다 적은 양은 상대적으로 방향성 거동 (behavior) 을 증명하는 플라즈마를 발생시키는 경향이 있다. 그러나, 리간드들, 또는 공동-리간드들의 보다 적은 양은, 예를 들어 플라즈마의 상대적으로 에너자이징된 이온들을 발생시킬 수도 있고, 따라서 보다 많이 에칭한다.
일부 실시예들에서, 400 ㎑ 및 60 ㎒의 주파수들은 이온 에너지를 제어하기 위해 채용될 수도 있다. 또한, 선택 가능하게, 펄스된 플라즈마 활성화 기법들 및 듀얼-주파수 활성화, 예를 들어 텐덤 (tandem) 저 주파수 및 고 주파수가 채용될 수도 있다. 플라즈마 소스들은 SHD로부터 전달될 때 용량 결합 반응기들 (CCPs) 또는 유도 결합 반응기들 (ICPs) 을 포함할 수도 있고, 또는 열-기반, 자외선-기반, 또는 광자-기반이다.
불활성 가스는 Ar, He, N2를 포함하는 그룹으로부터 선택되지만 이에 제한되지 않을 수도 있고, 또는 기판은 대안적으로 진공에 노출될 수도 있다. 동작 (308) 에 도시된 바와 같이, 피처들의 표면의 산화제, 또는 환원제로의 노출시 개질된 스컴을 제거하기 위해, 기판은 동작 (310) 에서 에너지 소스에 노출될 수도 있다. 적합한 에너지 소스들은 방향성 스퍼터링 (sputtering) 에 의해 기판을 에칭 (또는 세정) 하기 위해, 아르곤 또는 헬륨과 같이 제거를 유도하는 활성화 가스 또는 스퍼터링 가스 또는 화학적 반응 종을 포함할 수도 있다. 일부 실시예들에서, 제거 동작은 이온-충격에 의해 수행될 수도 있다. 동작들 (302 내지 312) 에서, 바이어스는 목표된 프로파일을 달성하기 위해 에칭의 방향성을 조절하도록 인가되지 않았다. 오히려 피처들의 표면으로부터 스컴을 제거하기 위한 ALC에 의한 상당히 컨포멀한 에칭, 또는 세정은 바이어스를 인가하지 않고 달성될 수도 있다.
스퍼터링 가스의 양은 3 Å보다 작거나, 2 Å 내지 20 Å로 명시된 범위 내와 같은 재료의 타겟팅된 양만을 에칭, 또는 세정하도록 제어될 수도 있다. 예를 들어, 헬륨 및 질소와 같은 스퍼터링 가스들은 각각 10,000 sccm 및 9,000 sccm으로 프로세스 챔버로 흐를 수도 있다. 또한, 기판의 에칭 (또는 세정) 프로파일은 헬륨 대 질소의 비를 수정함으로써 제어될 수도 있다. 일부 실시예들에서, 챔버의 압력은 개질 동작 및 제거 동작 사이에서 가변할 수도 있다. 가스의 압력은 챔버의 크기, 가스의 플로우 레이트, 반응기의 온도, 기판의 유형, 및 에칭되는 기판의 크기에 따를 수도 있다. 일부 실시예들에서, 보다 고압의 가스는 상대적으로 보다 빠른 사이클 완료 시간을 가능하게 할 수도 있다. 도 3에 도시된 바와 같이, 플라즈마는 0.1 Torr보다 크고 10 Torr보다 작은 압력에서 점화된다.
플라즈마는 사이클 각각에서 에칭된 재료의 양을 제어하는 동안 기판 표면 상의 재료의 스퍼터링을 감소시키도록 선택된 플라즈마 전력에서 점화된다. (예컨대 네 개의 기판들을 동시에 프로세싱하기 위해) 네 개의 스테이션 챔버에 대해, 플라즈마 전력은 약 250 W 내지 약 750 W일 수도 있다. 일부 실시예들에서, 단일 기판 스테이션에 대한 플라즈마 전력은 약 50 W 내지 약 250 W일 수도 있다. 플라즈마의 사용은 일반적으로 일부 스퍼터링을 유발할 수도 있지만, 스퍼터링은 일반적으로 사이클 당 에칭되거나 세정된 재료의 양에 미세-튜닝된 제어를 획득하고, 이에 따라 수직의, 또는 깨끗한, 피처 측벽들을 획득하기 위해 탄소-함유 재료를 패터닝하기 위해 낮은 플라즈마 전력과 고압에서 개시된 실시예들을 수행함으로써 제어된다. 예를 들어, 일부 실시예들에서, 챔버 압력은 약 50 W 내지 약 250 W의 기판 스테이션 당 플라즈마 전력을 사용하여, 약 2 Torr일 수도 있다. 도 3에 도시된 바와 같이, 스테이션 당 10 W 내지 200 W와 같이 기판 스테이션 당 플라즈마 전력은, 200 W보다 작다. 일부 실시예들에서, 플라즈마는 약 1 초 내지 약 5 초와 같이, 약 5 초보다 작은 지속기간 동안 점화될 수도 있다.
동작 (312) 에서, 기판이 충분히 에칭되거나 세정되었는지 여부가 결정된다. 아니라면, 동작들 (308 내지 312) 이 선택 가능하게 반복될 수도 있다. 동작 (306) 을 수행하는 것은 일 ALC 사이클을 구성할 수도 있다. 다양한 실시예들에서, 에칭, 또는 세정이 사이클들로 수행될 수도 있다. 사이클들의 수는 특정한 적용예에 대해 목표된 에칭, 또는 세정의 양에 따른다. 다양한 실시예들에서, 약 1 사이클 내지 약 100 사이클이 사용될 수도 있다. 일부 실시예들에서, 약 5 사이클 내지 약 100 사이클이 사용될 수도 있다. 일부 실시예들에서, 사이클들의 수는 약 1 사이클 내지 약 40 사이클, 또는 약 1 사이클 내지 약 20 사이클, 또는 약 30 사이클 내지 약 40 사이클일 수도 있다. 임의의 적합한 수의 ALC 사이클들이 막의 목표된 양을 에칭, 또는 세정하기 위해 포함될 수도 있다. 일부 실시예들에서, ALC는 기판 상의 층들의 표면의 약 1 Å 내지 약 50 Å를 에칭하도록 사이클들로 수행된다. 일부 실시예들에서, ALC 사이클은 기판 상의 층들의 표면의 약 2 Å 내지 약 50 Å을 에칭한다. 일부 실시예들에서, 사이클들의 수는 에칭의 양을 식별하고 종점에서 에칭을 중단하도록 종점을 설정하기 위해 OES (Optical Emission Spectroscopy) 를 사용함으로써 선택될 수도 있다. 일부 실시예들에서, 사이클 시간 (단일 사이클 동안 지속시간) 은 1 초보다 작을 수도 있다. 도 3 또는 도 4에 제공되고 논의된 바와 같이, ALC는 사이클 당 0.5 Å 내지 2 Å의 에칭 레이트로 수행될 수도 있다. 많은 ALE 프로세스들은 보다 통상적으로 사이클 당 약 4 Å 내지 10 Å를 제거한다.
이전에 도입된 바와 같이, ALC 프로세스들의 방향성은 도 1a 내지 도 1f에 도시된 바와 같이 맨드릴들 (100), 또는 기판 (106) 으로부터 스컴 (102) 의 효율적인 타겟팅 및 제거를 도울 수도 있다. 예를 들어, 일부 실시예들에서, 높은 방향성 ALC는 기판 상의 피처들의 측벽들을 에칭하지 않고 기판의 노출된 필드 영역을 우선적으로 에칭하기 위해 기판에 적용될 수도 있다. ALC 프로세스들의 균일성, 매끄러움 및 선택도와 같은 특정한 프로세스 조건들, 또는 파라미터들은 사이클 당 제거된 재료의 총량의 백분율로서 정량화될 수도 있고, "ALC 시너지" 로 규정되며 아래 방정식으로 도시된다:
Figure pct00001
EPC는 "사이클 당 에칭" 으로 정의되고, ALC의 일 사이클에서 제거된 재료의 총 두께를 나타내며 많은 사이클들에 걸쳐 평균화될 수도 있다. "α" 값 및 "β" 값은 개별적 ALC 동작들로부터 바람직하지 않은 원인들이고, 또한 nm/사이클의 단위를 갖는다. α는 표면 개질, 예를 들어 동작 (308) 에 도시된 것과 같이 중성 반응물질들에 의한 표면의 화학적 개질로부터 바람직하지 않은 원인의 값이다. β는, 예를 들어 도 3에서 동작 (310) 에 의해 도시된 것과 같이 불활성 이온 에너지에 의해 개질된 층의 제거로부터 바람직하지 않은 원인의 값이다. 이상적 동작 조건들 하에서, 시너지는 어떤 단일 단계로부터도 에칭 없이 100 %에 접근할 것이다. 통상적으로, 실제로 관찰된 바와 같이, α 및 β로부터의 0이 아닌 원인들은 광자-유도된 에칭, 물리적 스퍼터링, 단계 오염 및/또는 종래 에칭의 경쟁적인 반응들로 인해 존재할 수도 있다. 화학적 개질 및 불활성 이온 에너지에 의한 표면의 개질된 층의 제거는 α 및 β의 양들을 실험적으로 측정하기 위한 독립적인 프로세스들로서 수행될 수도 있다.
상기 규정된 바와 같이, ALC 시너지의 연구는, 동작 (306) 에서 논의된 바와 같이 피처들의 표면으로부터 3 Å보다 적게 스컴을 제거하기 위해 필요한 ALC 프로세스 파라미터들의 미세 튜닝 및 방향성을 지원할 수도 있다. 플라즈마 압력, 전력, 및 주파수와 같은 ALC 프로세스 파라미터들, 또는 조건들의 조정은 사이클 당 달성되는 에칭, 또는 세정의 상대적인 방향성에 영향을 줄 수도 있다. 일반적으로, 보다 방향성, 또는 이방성, 에칭은 피처 측벽들의 에칭을 방지하도록 목표된다. 또한, 파라미터들은 "필드 (field)" 와 같은 기판 상의 피처들 사이의 영역을 우선적으로 에칭하도록 튜닝될 수도 있다. 대안적으로, 시너지에 의해 제공된 정보는 피처들의 스컴에 대해 필드의 에칭, 또는 세정의 균형을 맞출 때 고려될 수도 있다.
도 4는 프로세스 챔버로부터 종을 제거하기 위해 복수의 퍼지 동작들을 수반하는 예시적인 ALC 프로세스의 또 다른 변형을 도시한다. 동작들 (402 내지 412) 은 동작들 (302 내지 312) 에 기술된 바와 유사하거나 동일한 압력 및 온도 범위들에서 완료될 수도 있다. 반응 챔버는 동작 (404) 에서 산화제들로 기판을 도징한 후, 그리고 동작 (408) 에서 포화된 단층의 에너제틱 활성화 후 각각 동작들 (406 및 410) 에서 퍼지된다. 일부 실시예들에서, 반응 챔버는 0.5 초 내지 1 초 동안과 같은 특정한 지속기간 동안 동작들 (406 및 410) 에서 퍼지될 수도 있다. 반응 챔버는 산화제들, 환원 제제들 또는 유리된 부산물들을 제거하기 위해 Ar, He, N2, 및 Ne와 같은 불활성 가스들, 또는 진공으로 동작들 (406 및 410) 에서 퍼지될 수도 있다. 퍼지 동작들 (406 및 410) 을 포함하는 동작들 (404 내지 412) 은 재료의 특정한 두께를 제거하기 위해 필요한 만큼 반복될 수도 있다.
Ar, He, N2, 및 Ne, 중 임의의 하나 이상, 또는 진공이 산화제들, 환원 제제들, 또는 유리된 부산물들을 제거하기 위해 채용될 수도 있지만, 상대적으로 큰 크기의 Ar 원자들은 He, N2, 또는 Ne를 사용하여 수행된 퍼지에 비해, Ar (가스) 을 사용하여 퍼지 동작을 수행하는 것을 제어하기 더 어렵게 만들 수 있다. 따라서 Ar 가스로 퍼지하는 것은 또한 보다 작은 방향성을 입증하고 보다 많은 피처 측벽 손상을 발생시킬 수도 있다.
도 5는 특정한 개시된 실시예들에 따라 수행된 ALC 동작들의 예를 도시하는 타이밍 개략적인 도면이다. 도 5에서 도시된 페이즈들 (phases) 은 도 4에서 도시된 동작들 (404 내지 410) 과 대체로 대응할 수도 있다. 도 5에서 제공된 예에서, 프로세스 (500) 는 두 개의 세정 사이클들 (512A 및 512B) 을 포함한다. 세정 사이클 (512A) 은 산소-함유 가스와 같은 산화제 가스 노출 페이즈 (504A), 퍼지 페이즈 (506A), 불활성 가스의 플라즈마 노출 페이즈 (508A), 및 퍼지 페이즈 (510A) 를 포함한다. 도 3의 동작 (308) 에 대응할 수도 있는 산화제 노출 페이즈 (504A) 동안, 퍼지 가스 플로우는 턴 오프되고 (turned off), 플라즈마가 턴 오프되고, 이 예에서 불활성 가스 플로우가 턴 오프되고, 그리고 산소-함유 가스 플로우가 턴 온된다 (turned on). 불활성 가스 플로우가 턴 오프되는 것으로 도시되지만, 일부 실시예들에서 불활성 가스일 수도 있는 캐리어 가스는 산소 함유 가스와 함께 흐를 수도 있는 것에 주의한다. 일부 실시예들에서, 캐리어 가스는 프로세싱 챔버로 산소 함유 가스의 전달 전에 방향 전환된다.
산소-함유 가스 노출 페이즈 (504A) 에 이어서, 도 4의 동작 (406) 에 대응할 수도 있는 퍼지 페이즈 (506A) 가 선택 가능하게 수행된다. 퍼지 페이즈 (506A) 동안, 산소 함유 가스 플로우와 불활성 가스 플로우가 턴 오프되고 플라즈마가 턴 오프되는 동안 퍼지 가스가 흐른다. 도 3에서 퍼지 가스 및 불활성 가스가 개별적으로 나열되어 있지만, 일부 실시예들에서, 동일한 가스가 불활성 가스와 플라즈마 노출 페이즈 (508A) 에서 퍼지 가스로서, 그리고 불활성 가스로서 사용될 수도 있다. 불활성 가스와 플라즈마 노출 페이즈 (508A) 동안, 퍼지 가스 플로우는 산소 함유 가스 플로우와 마찬가지로 턴 오프되지만, 불활성 가스 플로우는 턴 온되고 플라즈마가 턴 온된다. 이 단계는 도 3의 동작 (310) 에 대응할 수도 있다. 불활성 가스와 플라즈마 노출 페이즈 (508A) 동안 바이어스가 인가되지 않는다. 산소 함유 가스 노출 페이즈 (504A) 동안에도 바이어스가 인가되지 않는다. 도 4의 동작 (410) 에 대응할 수도 있는 퍼지 페이즈 (510A) 에서, 산소 함유 가스 플로우와 불활성 가스 플로우가 턴 오프되고 플라즈마가 턴 오프되는 동안 퍼지 가스가 흐른다. 에칭 사이클 (512A) 은 불활성 가스와 플라즈마 노출 페이즈 (508B), 산소 함유 가스 노출 페이즈 (504B), 퍼지 페이즈 (506B), 및 퍼지 페이즈 (510B) 를 포함하는 세정 사이클 (512B) 에 도시된 바와 같이 반복될 수도 있다.
산소 함유 가스 노출 페이즈 (504B) 동안, 퍼지 가스 플로우와 불활성 가스 플로우가 턴 오프되지만, 산소-함유 가스 플로우는 턴 온되고 플라즈마가 턴 오프된다. 퍼지 페이즈 (506B) 동안, 퍼지 가스 플로우가 턴 온되지만 산소-함유 가스 플로우와 불활성 가스 플로우는 턴 오프되고 플라즈마 또한 턴 오프된다. 불활성 가스와 플라즈마 노출 페이즈 (508B) 동안, 퍼지 가스 플로우 및 산소 함유 가스 플로우가 턴 오프되지만 불활성 가스 플로우는 턴 온되고 플라즈마 또한 턴 온된다. 퍼지 페이즈 (510B) 동안, 퍼지 가스 플로우가 턴 온되지만, 산소-함유 가스 플로우와 불활성 가스 플로우가 턴 오프되고, 플라즈마가 턴 오프된다. 두 개의 세정 사이클들이 도 5에 도시되었지만, 추가 세정 사이클들 또한 수행될 수도 있는 것이 이해될 것이다.
장치
도 6은 프로세스 챔버 (602) 를 갖는 ALC (Atomic Layer Cleaning) 프로세스 스테이션 (600) 의 실시예의 개략적인 예시를 도시한다. 프로세스 스테이션 (600) 은 특정한 개시된 실시예들을 수행하기 위해 사용될 수도 있다. 예를 들어, 프로세스 스테이션 (600) 이 통상적으로 기판 상의 ALD (Atomic Layer Deposition) 에 의해 막들을 증착하기 위해 사용될 수도 있지만, 프로세스 스테이션 (600) 은 본 명세서 다른 곳에 기술되어 있는 바와 같이 ALC에 의해 패터닝 스킴 (scheme) 에서 탄소-함유 재료를 세정하기 위해, 특정한 개시된 실시예들에서 사용될 수도 있다. 일부 실시예들에서, 프로세스 스테이션 (600) 은 ALC 및 ALD 모두를 위해 사용될 수도 있고, 또는 일부 실시예들에서, 기판들이 진공을 파괴하지 않고 ALC 스테이션과 ALD 스테이션 사이에서 이송될 수도 있도록, 멀티-스테이션 툴의 여러 프로세스 스테이션들은 ALC를 위한 스테이션과 ALD를 위한 스테이션을 포함할 수도 있다.
프로세스 챔버 (602) 는 저압 분위기를 유지하기 위해 사용될 수도 있다. 복수의 프로세스 스테이션들은 공동의 저압 프로세스 툴 분위기에 포함될 수도 있다. 예를 들어, 도 7은 멀티-스테이션 프로세싱 툴 (700) 의 실시예를 도시한다. 일부 실시예들에서, 이하에 상세히 논의된 것들을 포함하는 프로세스 스테이션 (600) 의 하나 이상의 하드웨어 파라미터들은, 하나 이상의 컴퓨터 제어기들 (650) 에 의해 프로그램적으로 조정될 수도 있다.
프로세스 스테이션 (600) 은 프로세스 가스들을 분배 샤워헤드 (606) 로 전달하기 위해 반응물질 전달 시스템 (601a) 과 유체로 연통한다. 반응물질 전달 시스템 (601a) 은 샤워헤드 (606) 로 전달하기 위한 산소-함유 가스, 또는 불활성 가스와 같은 프로세스 가스들을 블렌딩 (blending) 및/또는 컨디셔닝 (conditioning) 하기 위한 믹싱 (mixing) 용기 (604) 를 포함한다. 하나 이상의 믹싱 용기 유입구 밸브들 (620) 은 혼합 용기 (604) 로의 프로세스 가스들의 도입을 제어할 수도 있다.
예로서, 도 6의 실시예는 혼합 용기 (604) 에 공급될 액체 반응물질을 기화시키기 위한 기화 지점 (603) 을 포함한다. 일부 실시예들에서, 증착 화학물질은 기화된 액체 반응물질로서 제공될 수도 있다. 증착 화학물질은 컨포멀한 막이 패터닝된 탄소-함유 재료 위에 ALD에 의해 증착될 수도 있도록 패터닝된 탄소-함유 재료를 형성하기 위해 프로세스 챔버 (602) 에서 ALC 수행 이후 사용될 수도 있다. 일부 실시예들에서, 기화 지점 (603) 은 가열된 기화기일 수도 있다. 이러한 기화기들로부터 생성된 포화된 반응물질 증기는 다운스트림 (downstream) 전달 파이프에서 응축될 수도 있다. 응축된 반응물질에 양립 가능하지 않은 가스들의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막고, 밸브 동작을 지연시키고, 기판들을 오염시키는 등을 할 수도 있다. 이들 문제들을 해결하기 위한 일부 접근방법들은 잔여 반응물질들을 제거하기 위해 전달 파이프를 퍼징 및/또는 배기시키는 것을 수반한다. 그러나, 전달 파이프를 퍼지하는 것은 프로세스 스테이션 사이클 시간을 증가시킬 수도 있고, 프로세스 스테이션 쓰루풋을 저하시킨다. 따라서, 일부 실시예들에서, 기화 지점 (603) 의 다운스트림 전달 파이프는 열 추적될 수도 있다. 일부 예들에서, 믹싱 용기 (604) 또한 열 추적될 수도 있다. 일 비제한 예에서, 기화 지점 (603) 의 다운스트림 파이프는 믹싱 용기 (604) 에서 대략 100 ℃에서 대략 150 ℃까지 연장하는 온도 프로파일이 증가한다.
일부 실시예들에서, 액체 전구체 또는 액체 반응물질은 액체 주입기 (injector) (도 6에서 미도시) 에서 기화될 수도 있다. 예를 들어, 액체 주입기는 액체 반응물질의 펄스들을 혼합 용기 (604) 의 업스트림 (upstream) 의 캐리어 가스 스트림 내로 주입할 수도 있다. 일 실시예에서, 액체 주입기는 고압에서 저압으로 액체 플래싱 (flashing) 에 의해 반응물질을 기화시킬 수도 있다. 또 다른 예에서, 액체 주입기는 가열된 전달 파이프에서 후속하여 기화된, 분산된 마이크로-액적들 (micro-droplets) 로 액체를 원자화할 수도 있다. 보다 작은 액적들은 보다 큰 액적들보다 빠르게 기화할 수도 있고, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다. 보다 빠른 기화는 기화 지점 (603) 으로부터 다운스트림 파이프의 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 믹싱 용기 (604) 에 직접 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (606) 에 직접 장착될 수도 있다.
일부 실시예들에서, 기화 지점 (603) 의 LFC (Liquid Flow Controller) 업스트림은 기화 및 프로세스 챔버 (602) 로의 전달을 위해 액체의 질량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치한 열적 MFM (Mass Flow Meter) 을 포함할 수도 있다. LFC의 플런저 (plunger) 밸브가 이후 MFM과 전기적으로 통신하는 PID (Proportional-Integral-Derivative) 제어기에 의해 제공되는 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 피드백 제어를 사용하여 액체 플로우를 안정화하기 위해 일 초 이상의 시간이 걸릴 수도 있다. 이는 액체 반응물질을 도징하기 위해 시간을 연장할 수도 있다. 따라서, 일부 실시예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 실시예들에서, 이는 LFC 및 PID 제어기의 감지 튜브를 디스에이블 (disabling) 함으로써 수행될 수도 있다.
샤워헤드 (606) 는 기판 (612) 을 향해 프로세스 가스들을 분배한다. 도 8에서 도시된 일부 실시예에서, 기판 (612) 은 샤워헤드 (606) 아래에 위치되고 척 또는 페데스탈 (608) 상에 놓여 있는 것이 도시된다. 샤워헤드 (606) 는 샤워 헤드 (606) 에 의해 기판 (612) 을 향하여 제공되거나 분산되는 바람직한 수준의 이온들의 지향성을 달성하기 위해, 350 밀 (mil) (0.35 인치) 내지 700 밀 (0.7 인치) 의 거리에 위치될 수도 있다. 일부 실시예들에서, 샤워헤드 (606) 과 페데스탈 (612) 사이의 보다 낮은, 또는 보다 작은 갭은 샤워헤드 (606) 로부터 분산된 이온들의 지향성을 유지하기 위해 채용될 수도 있다. 그러나, 보다 낮은 압력 조건들에서 (예를 들어, 10 mT, 또는 0.01 Torr 아래), 보다 높은, 또는 보다 큰 갭은 샤워헤드 (606) 로부터 이온화된 플라즈마의 안정된 확산을 달성하기 위해 필요할 수도 있다. 일부 실시예들에서, 챔버가 복수의 척들 또는 페데스탈들을 포함할 수도 있다. 샤워헤드 (606) 는 임의의 적합한 형상을 가질 수도 있고, 그리고 프로세스 가스들을 기판 (612) 에 분배하기 위한 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 실시예들에서, 페데스탈 (608) 은 기판 (612) 과 샤워헤드 (606) 사이의 볼륨에 기판 (612) 을 노출시키도록 상승되거나 하강될 수도 있다. 일부 실시예들에서, 페데스탈 (608) 은 가열기 (610) 를 통해 온도 제어될 수도 있다. 페데스탈 (608) 은 다양한 개시된 실시예들을 수행하기 위한 동작들 동안, 약 25 ℃ 내지 약 650 ℃ 또는 약 35 ℃ 내지 약 100 ℃와 같은 임의의 적합한 온도로 설정될 수도 있다. 일부 실시예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (650) 에 의해 프로그램적으로 조정될 수도 있는 것이 인식될 것이다.
또 다른 시나리오에서, 페데스탈 (608) 의 높이를 조정하는 것은 특정한 개시된 실시예들에서 수행된 플라즈마 활성화 동안 플라즈마 밀도를 가변하게 할 수도 있다. 예를 들어, 코어 재료가 산소-함유 가스에 노출된 후, 개질된 코어 재료를 제거하기 위해 샤워헤드 (806) 를 통해 기판 (612) 으로 불활성 가스가 흐를 때 플라즈마가 점화될 수도 있다. 프로세스 단계의 종료시, 페데스탈 (608) 은 페데스탈 (608) 로부터 기판 (612) 의 제거를 허용하도록 또 다른 기판 이송 페이즈 동안 하강할 수도 있다.
일부 실시예들에서, 샤워헤드 (606) 의 위치는 기판 (612) 과 샤워헤드 (606) 사이의 볼륨을 가변시키기 위해 페데스탈 (608) 에 대하여 조정될 수도 있다. 또한, 페데스탈 (608) 및/또는 샤워헤드 (606) 의 수직 위치가 본 개시의 범위 내의 임의의 적합한 메커니즘에 의해 가변할 수도 있다는 것이 인식될 것이다. 일부 실시예들에서, 페데스탈 (608) 은 기판 (612) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시예들에서, 하나 이상의 이들 예시적인 조정들은 하나 이상의 적합한 컴퓨터 제어기들 (650) 에 의해 프로그램적으로 수행될 수도 있다. 컴퓨터 제어기 (650) 는 도 7의 제어기에 관해 이하에 기술된 임의의 피처들을 포함할 수도 있다.
상기 논의된 바와 같이 플라즈마가 사용될 수도 있는 일부 실시예들에서, 샤워헤드 (606) 및 페데스탈 (608) 은 플라즈마에 전력을 공급하기 위해 RF (Radio Frequency) 전력 공급부 (614) 및 매칭 네트워크 (616) 와 전기적으로 통신한다. 일부 실시예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (614) 및 매칭 네트워크 (616) 는 라디칼 종의 목표된 조성을 갖는 플라즈마를 형성하기 위해 임의의 적합한 전력에서 작동될 수도 있다. 마찬가지로, RF 전력 공급부 (614) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시예들에서, RF 전력 공급부 (614) 는 고 주파수 RF 전력 소스 및 저 주파수 RF 전력 소스를 서로 독립적으로 제어하기 위해 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은 0 ㎑ 내지 500 ㎑의 주파수들을 포함할 수도 있지만, 이에 제한되지는 않는다. 예시적인 고 주파수 RF 주파수들은 1.8 ㎒ 내지 2.45 GHz, 또는 약 13.56 ㎒보다 크거나, 27 ㎒보다 크거나, 40 ㎒보다 크거나, 60 ㎒보다 큰 주파수들을 포함하지만, 이에 제한되지는 않는다. 임의의 적합한 파라미터들은 표면 반응들에 플라즈마 에너지를 제공하기 위해 개별적으로 또는 계속적으로 조절될 수도 있는 것이 인식될 것이다.
일부 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인-시츄 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (Optical Emission Spectroscopy) 센서들에 의해 측정될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인-시츄 플라즈마 모니터들로부터의 측정값들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적인 제어를 제공하기 위해 피드백 루프 (loop) 에서 사용될 수도 있다. 일부 실시예들에서, OES 센서는 특정한 개시된 실시예들을 사용하는 특정한 시간의 양 이후 에칭을 중단하도록 종점을 설정하기 위해 사용될 수도 있다. 일부 실시예들에서, 다른 모니터들은 플라즈마 및 다른 프로세스 특징들을 모니터링하기 위해 사용될 수도 있다. 이러한 모니터들은 적외선 (IR : infrared) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들 (transducers) 을 포함할 수도 있지만, 이에 제한되지는 않는다.
일부 실시예들에서, 제어기 (650) 를 위한 인스트럭션들은 입력/출력 제어 (IOC : Input/Output Control) 시퀀싱 인스트럭션들을 통해 제공될 수도 있다. 일례에서, 프로세스 페이즈에 대한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 순차적으로 배열될 수도 있고, 따라서 프로세스 페이즈에 대한 모든 인스트럭션들은 그 프로세스 페이즈와 동시에 실행된다. 일부 실시예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들은 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 가스 및/또는 반응물질 가스 (예를 들어, 산소-함유 가스) 의 플로우 레이트를 설정하기 위한 인스트럭션들, (아르곤과 같은) 캐리어 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션을 포함할 수도 있다. 제 2의, 후속 레시피 페이즈는 불활성 가스 및/또는 반응물질 가스의 플로우 레이트를 조절 또는 중단하기 위한 인스트럭션들, 및 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 페이즈는 아르곤과 같은 제 2 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 4 스테이션 프로세싱 툴에 대해 약 250 W 내지 약 750 W의 저 플라즈마 전력에서 플라즈마를 점화하기 위한 인스트럭션들, 및 제 3 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 4의, 후속 레시피 페이즈는 불활성 가스 및/또는 반응 가스의 플로우 레이트를 조절 또는 중단하기 위한 인스트럭션들, 및 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 및 제 4 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 이러한 레시피들은 약 90° ± 5°의 지점에서 에칭될 하부 층의 표면과 만나는 수직 측벽들을 산출하기 위해 기판 상의 코어 재료와 같은 탄소-함유 재료를 에칭하도록 사용될 수도 있다. 부가적인 레시피들이 또한 이어질 수도 있고 ALD에 의해 패터닝된 코어 재료 위에 컨포멀한 막을 증착하기 위해 사용될 수도 있다. 예를 들어, 패터닝된 코어 재료 위에 실리콘 산화물 컨포멀한 막을 증착하기 위해, 일 부가적인 레시피 페이즈들은 실리콘-함유 전구체의 플로우 레이트를 설정하기 위한 인스트럭션들을 포함할 수도 있고, 또 다른 부가적인 레시피 페이즈는 산소-함유 반응물질의 플로우 레이트를 설정하기 위한 인스트럭션들 및 추가 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 본 개시의 범위 내의 임의의 적합한 방식으로 더 세분화되고 그리고/또는 반복될 수도 있는 것이 인식될 것이다.
또한, 일부 실시예들에서, 프로세스 스테이션 (600) 에 대한 압력 제어는 버터플라이 밸브 (618) 에 의해 제공될 수도 있다. 도 6의 실시예에서 도시된 바와 같이, 버터플라이 밸브 (618) 는 다운스트림 진공 펌프 (도 6에서 미도시) 에 의해 제공된 진공을 쓰로틀한다 (throttles). 그러나, 일부 실시예들에서, 프로세스 스테이션 (600) 의 압력 제어가 또한 프로세스 스테이션 (600) 으로 도입된 하나 이상의 가스들의 플로우 레이트를 가변함으로써 조정될 수도 있다.
상기 기술한 바와 같이, 하나 이상의 프로세스 스테이션들은 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 7은 하나 또는 모두가 리모트 플라즈마 소스 (도 7에 미도시) 를 포함할 수도 있는 인바운드 로드 록 (inbound load lock) (702) 과 아웃바운드 로드 록 (outbound load lock) (704) 을 갖는 멀티-스테이션 프로세싱 툴 (700) 의 실시예의 개략적인 도면을 도시한다. 대기압에서, 로봇 (706) 은 포드 (pod) (708) 를 통해 로딩된 카세트로부터 대기 포트 (710) 를 통해 인바운드 로드 록 (702) 내로 웨이퍼를 이동시키도록 구성된다. 웨이퍼 (도 7에서 미도시) 가 로봇 (706) 에 의해 인바운드 로드 록 (702) 내의 페데스탈 (712) 상에 배치되고, 대기 포트 (710) 는 폐쇄되고, 인바운드 로드 록 (702) 은 펌핑 다운된다. 인바운드 로드 록 (702) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (714) 내로 도입되기 전에 인바운드 로드 록 (702) 에서 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어 수분과 흡착된 가스들을 제거하기 위해, 인바운드 로드 록 (702) 또한 가열될 수도 있다. 다음으로, 프로세싱 챔버 (714) 로의 챔버 이송 포트 (716) 가 개방되고, 또 다른 로봇 (미도시) 은 프로세싱을 위해 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 7에서 도시된 실시예가 로드 록들을 포함하지만, 일부 실시예들에서, 프로세스 스테이션 내로 웨이퍼의 직접적인 진입이 제공될 수도 있는 것이 인식될 것이다.
도시된 프로세싱 챔버 (714) 는 도 7에서 도시된 실시예에서 1부터 4까지 넘버링된 네 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 (718) 로 도시됨), 및 가스 라인 유입구들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각은 상이한 또는 복수의 목적들을 가질 수도 있는 것이 인식될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALC, ALD와 플라즈마-향상된 ALD 프로세스 모드 사이에서 전환 가능할 수도 있다. 일부 실시예들에서, 증착 전구체로의 노출과 제 2 반응물질 및 플라즈마로의 노출은 동일한 스테이션에서 수행된다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (714) 는 하나 이상의 매칭된 ALD 프로세스 스테이션 및 플라즈마-향상된 ALD 프로세스 스테이션 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (714) 가 네 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버가 임의의 적합한 수의 스테이션을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 다섯 개 이상의 스테이션들을 가질 수도 있지만, 다른 실시예들에서 프로세싱 챔버는 세 개 또는 그보다 적은 스테이션들을 가질 수도 있다.
도 7은 프로세싱 챔버 (714) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (790) 의 실시예를 도시한다. 일부 실시예들에서, 웨이퍼 핸들링 시스템 (790) 은 다양한 프로세싱 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송시킬 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있는 것이 인식될 것이다. 비제한 예들은 웨이퍼 캐러셀들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 7은 또한 프로세스 툴 (700) 의 프로세스 조건들 및 하드웨어 상태들을 제어하기 위해 채용된 시스템 제어기 (750) 의 실시예를 도시한다. 시스템 제어기 (750) 는 하나 이상의 메모리 디바이스들 (756), 하나 이상의 대량 저장 디바이스들 (754), 및 하나 이상의 프로세서들 (752) 을 포함할 수도 있다. 프로세서 (752) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 접속부, 및/또는 디지털 입력/출력 접속부, 스텝퍼 (stepper) 모터 제어기 보드들, 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (750) 는 프로세스 툴 (700) 의 모든 액티비티들을 제어한다. 시스템 제어기 (750) 는 대량 저장 디바이스 (754) 에 저장되고, 메모리 디바이스 (756) 에 로딩되고, 프로세서 (752) 에서 실행되는 시스템 제어 소프트웨어 (758) 를 실행한다. 대안적으로, 제어 로직은 제어기 (750) 에 하드 코딩될 수도 있다. ASICs (Applications Specific Integrated Circuits), PLDs (Programmable Logic Devices) (예를 들어, 필드-프로그램 가능한 게이트 어레이들, 또는 FPGAs) 등이 이러한 목적들로 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드" 가 사용되는 경우, 기능적으로 비교 가능한 하드 코딩된 로직이 그 위치에서 사용될 수도 있다. 시스템 제어 소프트웨어 (758) 는 타이밍, 가스들의 혼합물, 가스 플로우 레이트들, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 위치, 및 프로세스 툴 (700) 에 의해 수행된 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어 (758) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 (subroutines) 또는 제어 객체들은 다양한 프로세스 툴 프로세스들을 수행하는데 사용되는 프로세스 툴 컴포넌트들의 동작을 제어하기 위해 작성될 수도 있다. 시스템 제어 소프트웨어 (758) 는 임의의 적합한 컴퓨터 판독 가능한 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (758) 는 상기 기술된 다양한 파라미터들을 제어하기 위해 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (750) 와 연관된 대량 저장 디바이스 (754) 및/또는 메모리 디바이스 (756) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들은 일부 실시예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 가열기 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 기판을 페데스탈 (718) 상에 로딩하고 기판과 프로세스 툴 (700) 의 다른 부분들 사이의 간격을 제어하기 위해 사용되는 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같이 실리콘-함유 가스, 산소-함유 가스, 및 퍼지 가스들) 및 플로우 레이트들을 제어하기 위해, 그리고 선택 가능하게 프로세스 스테이션에서 압력을 안정화하기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션 내로의 가스 플로우, 등을 조절함으로써 프로세스 스테이션의 압력을 제어하기 위한 코드를 포함할 수도 있다.
가열기 제어 프로그램은 기판을 가열하기 위해 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 가열기 제어 프로그램은 기판으로 (헬륨과 같은) 열 전달 가스의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따라 하나 이상의 프로세스 스테이션들의 프로세스 전극들에 인가되는 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시예들에 따라 반응 챔버에서 압력을 유지하기 위해 코드를 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (750) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (750) 에 의해 조정된 파라미터들은 프로세스 조건들과 연관될 수도 있다. 비한정적인 예들은 프로세스 가스 조성들 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (750) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (700) 의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비한정적인 예들은 질량 유량 제어기들, (마노미터들과 같은) 압력 센서들, 써모커플들 (thermocouples), 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들은 프로세스 조건들을 유지하기 위해 이들 센서들로부터 데이터와 함께 사용될 수도 있다.
시스템 제어기 (750) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따라 막 스택들의 인-시츄 증착을 작동시키기 위해 파라미터들을 제어할 수도 있다.
시스템 제어기 (750) 는 통상적으로 장치가 개시된 실시예들에 따라 방법을 수행하기 위해 인스트럭션들을 실행하도록 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 개시된 실시예들에 따라 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독 가능한 매체는 시스템 제어기 (750) 에 커플링될 수도 있다.
일부 구현예들에서, 시스템 제어기 (750) 는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 전에, 프로세싱 동안에 그리고 프로세싱 후에 그들의 동작을 제어하기 위해 전자장치들에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 시스템 제어기 (750) 는, 프로세싱 조건들 및/또는 시스템의 유형에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기 (750) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 으로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼를 위해서 또는 시스템에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (750) 로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (750) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (750) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (750) 는 하나 이상의 동작들 동안에 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 시스템 제어기 (750) 가 제어하거나 인터페이싱하도록 구성된 툴의 유형 및 수행될 프로세스의 유형에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상기 기술된 바와 같이, 시스템 제어기 (750) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산된 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, 원격으로 위치한 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD (Atomic Layer Deposition) 챔버 또는 모듈, ALC (Atomic Layer Clean) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제작 및/또는 제조 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (750) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터 그리고 툴 위치들 및/또는 로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에 개시된 방법들을 수행하기 위해 적합한 장치가 2011년 4월 11일에 출원된, 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION" 인 미국 특허 출원 번호 제 13/084,399 호 (현재 미국 특허 제 8,728,956 호); 및 2011년 4월 11일에 출원된, 명칭이 "SILICON NITRIDE FILMS AND METHODS" 인 미국 특허 출원 번호 제 13/084,305 호에 더 논의되고 기술되었으며, 이들 각각은 전체가 본 명세서에 인용되었다.
본 명세서에 기술된 장치/프로세스는, 예를 들어 반도체 디바이스들, 디스플레이들, LED들, 광전지 패널들 등의 제조 또는 제작을 위한 리소그래픽 패터닝 툴들 또는 프로세서들과 함께 사용될 수도 있다. 반드시는 아니지만, 통상적으로, 이러한 툴들/프로세스들은 공동의 제조 설비에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 이하의 일부 또는 모든 동작들을 포함하고, 동작 각각은 다수의 가능한 툴들로 인에이블된다: (1) 툴에 스핀-온 또는 스프레이-온을 사용하여 워크피스, 즉 기판 상에 포토레지스트의 도포; (2) 핫플레이트 또는 퍼니스 (furnace) 또는 UV 경화 툴을 사용하여 포토레지스트의 경화; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 포토레지스트를 가시광 또는 UV 광 또는 x-선 광으로 노출; (4) 습식 벤치 (bench) 와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 그것을 패터닝하기 위해 레지스트를 현상; (5) 건식 또는 플라즈마-보조된 에칭 툴을 사용함으로써 레지스트 패턴을 하부 막 또는 워크피스로 전사; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트리퍼 (stripper) 와 같은 툴을 사용하여 레지스트를 제거.
결론
전술한 실시예들이 이해의 명확성을 위해 다소 상세하게 기술되었지만, 첨부된 청구항들의 범위 내에서 특정한 변경들 및 개질들이 실시될 수도 있는 것이 분명할 것이다. 본 실시예들의 프로세스들, 시스템들 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것에 유의해야 한다. 따라서, 본 실시예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시예들은 본 명세서에 주어진 세부사항들에 한정되지 않을 것이다.

Claims (17)

  1. 반도체 기판을 프로세싱하는 방법에 있어서,
    (a) 탄소-함유 피처들의 패턴을 갖는 탄소-함유 재료를 포함하는 반도체 기판을 챔버에 제공하는 단계; 및
    (b) 피처 임계 치수들 (critical dimensions) 을 실질적으로 수정하지 않고 상기 탄소-함유 피처들을 디스커밍 (descum) 하기 위해 ALC (Atomic Layer Cleaning) 프로세스에 의해 상기 탄소-함유 피처들을 세정하는 단계를 포함하고, 상기 ALC 프로세스는,
    (i) 상기 탄소-함유 피처들의 표면 상의 스컴 (scum) 을 개질하기 위해 플라즈마 또는 다른 에너제틱 활성화 (energetic activation) 없이 산화제 또는 환원제에 상기 탄소-함유 피처들을 노출시키는 단계; 및
    (ii) 상기 탄소-함유 피처들의 상기 표면으로부터 상기 개질된 스컴을 제거하기 위해 상기 탄소-함유 피처들의 상기 표면 상의 개질된 스컴을 불활성 가스에 노출시키고, 0.1 Torr보다 크고 6 Torr보다 낮은 압력에서 그리고 125 W보다 낮은 전력에서 플라즈마를 점화하는 단계를 포함하는, 반도체 기판을 프로세싱하는 방법.
  2. 제 1 항에 있어서,
    상기 산화제는 산소 (O2), 아산화질소 (N2O), 디올들 (diols), 물, 오존 (O3), 알코올들, 에스테르들 (esters), 케톤들 (ketones), 카복실 산들 (carboxylic acids) 로 구성되는 그룹으로부터 선택되는, 반도체 기판을 프로세싱하는 방법.
  3. 제 2 항에 있어서,
    상기 산화제를 상기 반도체 기판에 도징 (dosing) 하는 것은 포화된 단층을 생성하기 위해 상기 반도체 기판을 산화하여 포화시키는, 반도체 기판을 프로세싱하는 방법.
  4. 제 1 항에 있어서,
    상기 단계 (b) 의 상기 단계 (i) 에서,
    상기 탄소-함유 피처들의 환원제로의 노출은 x 및 y가 1 이상의 정수들인 CXHY의 화학식을 갖는 하이드로카본으로 상기 탄소-함유 피처들의 탄소를 변환하는, 반도체 기판을 프로세싱하는 방법.
  5. 제 1 항에 있어서,
    상기 불활성 가스는 헬륨, 질소, 아르곤, 네온 및 이들의 조합들로 구성되는 그룹으로부터 선택되는, 반도체 기판을 프로세싱하는 방법.
  6. 제 1 항에 있어서,
    상기 탄소-함유 피처들은 스핀-온 (spin-on) 탄소, 포토레지스트, 및 비정질 탄소로 구성되는 그룹으로부터 선택되는 재료를 포함하는, 반도체 기판을 프로세싱하는 방법.
  7. 제 1 항에 있어서,
    상기 챔버는 약 0.1 Torr 내지 약 0.5 Torr의 챔버 압력으로 설정되는, 반도체 기판을 프로세싱하는 방법.
  8. 제 1 항에 있어서,
    상기 플라즈마는 약 10 W 내지 50 W의 플라즈마 전력을 사용하여 점화되는, 반도체 기판을 프로세싱하는 방법.
  9. 제 1 항에 있어서,
    상기 챔버는 상기 단계 (b) 의 상기 단계 (i) 이후 상기 불활성 가스 또는 진공으로 퍼지되는, 반도체 기판을 프로세싱하는 방법.
  10. 제 9 항에 있어서,
    상기 챔버를 퍼지하는 것은 산화제들, 환원제들, 또는 유리된 (liberated) 부산물들로 구성되는 그룹으로부터 선택된 임의의 하나 이상을 제거하는, 반도체 기판을 프로세싱하는 방법.
  11. 제 3 항에 있어서,
    상기 탄소-함유 피처들을 상기 점화된 플라즈마에 노출시키는 단계는 휘발성 부산물들을 유리시키기 위해 상기 포화된 단층을 에너제틱 활성화시키는, 반도체 기판을 프로세싱하는 방법.
  12. 제 11 항에 있어서,
    상기 휘발성 부산물들은 일산화탄소 (CO), 이산화탄소 (CO2), 및 메탄 (CH4), 아세틸렌 (C2H2), 에틸렌 (C2H4), 또는 에탄 (C2H6) 과 같은 CXHY의 화학식을 갖는 하이드로카본들로 구성되는 그룹으로부터 선택되는, 반도체 기판을 프로세싱하는 방법.
  13. 제 11 항에 있어서,
    에너지 플라즈마 활성화의 방법들은 용량 결합 반응기 (CCP), 유도 결합 반응기 (ICP) 에 의해 제공되거나 열-기반, 자외선-기반, 또는 광자-기반인, 반도체 기판을 프로세싱하는 방법.
  14. 제 11 항에 있어서,
    상기 챔버는 산화제들, 환원제들, 또는 유리된 부산물들을 제거하기 위해 상기 점화된 플라즈마에 의해 상기 포화된 단층의 상기 에너제틱 활성화 후 상기 불활성 가스 또는 진공으로 퍼지되는, 반도체 기판을 프로세싱하는 방법.
  15. 제 1 항에 있어서,
    상기 탄소-함유 피처들의 상기 표면 상의 상기 스컴은 두께가 3 Å보다 작은, 반도체 기판을 프로세싱하는 방법.
  16. 제 1 항에 있어서,
    상기 단계 (b) 의 상기 단계 (i) 및 상기 단계 (b) 의 상기 단계 (ii) 를 완료하는 것은 사이클 당 상기 개질된 스컴의 0.5 Å 내지 2 Å를 제거하는 에칭 사이클을 포함하는, 반도체 기판을 프로세싱하는 방법.
  17. 프로세스 챔버 각각이 척을 갖는, 하나 이상의 프로세스 챔버들;
    상기 프로세스 챔버들 내로의 하나 이상의 가스 유입구들 및 연관된 플로우-제어 하드웨어; 및
    프로세서 및 메모리를 갖는 제어기를 포함하고,
    상기 프로세서 및 상기 메모리는 서로 통신 가능하게 연결되고,
    상기 프로세서는 상기 플로우-제어 하드웨어와 적어도 동작 가능하게 연결되고, 그리고
    상기 메모리는,
    (a) 포화된 단층을 생성하도록 반도체 기판을 산화하여 포화시키거나 상기 반도체 기판 상의 탄소-기반 재료들을 휘발성 부산물들과 함께 하이드로카본들로 변환하기 위해 산화제 또는 환원제를 상기 프로세스 챔버들 중 적어도 하나 내에 하우징된 상기 반도체 기판에 도징하는 단계;
    (b) 상기 산화제 또는 상기 환원제를 제거하고, 그리고/또는 상기 휘발성 부산물들을 유리시키도록 내부에 상기 반도체 기판이 하우징된 상기 프로세스 챔버를 퍼징하는 단계;
    (c) 활성화된 상기 포화된 단층을 제거하기 위해 상기 포화된 단층에 방향성 (directional) 플라즈마를 인가함으로써 상기 포화된 단층을 활성화하는 단계; 및
    (d) 상기 포화된 단층의 상기 활성화 및 제거에 이어서 상기 프로세스 챔버를 퍼징하는 단계에 의해, 적어도 상기 플로우-제어 하드웨어를 제어하기 위해 상기 프로세서를 제어하기 위한 컴퓨터-실행 가능한 인스트럭션들을 저장하는, 장치.
KR1020207004764A 2017-07-19 2018-07-13 포토레지스트 패터닝 스컴 (scum) 의 제거를 위한 원자 층 세정 KR20200022046A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/654,612 2017-07-19
US15/654,612 US10494715B2 (en) 2017-04-28 2017-07-19 Atomic layer clean for removal of photoresist patterning scum
PCT/US2018/042024 WO2019018227A1 (en) 2017-07-19 2018-07-13 ATOMIC LAYER CLEANING FOR THE ELIMINATION OF PHOTOSENSITIVE RESIN PATTERN FORMATION

Publications (1)

Publication Number Publication Date
KR20200022046A true KR20200022046A (ko) 2020-03-02

Family

ID=63916017

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207004764A KR20200022046A (ko) 2017-07-19 2018-07-13 포토레지스트 패터닝 스컴 (scum) 의 제거를 위한 원자 층 세정

Country Status (6)

Country Link
US (1) US10494715B2 (ko)
JP (1) JP2020527856A (ko)
KR (1) KR20200022046A (ko)
CN (2) CN115793404A (ko)
TW (1) TW201908516A (ko)
WO (1) WO2019018227A1 (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
WO2019190781A1 (en) 2018-03-30 2019-10-03 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
US10658180B1 (en) * 2018-11-01 2020-05-19 International Business Machines Corporation EUV pattern transfer with ion implantation and reduced impact of resist residue
US10847429B1 (en) * 2019-10-17 2020-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of detecting photoresist scum, method of forming semiconductor package and photoresist scum detection apparatus
US20220406592A1 (en) * 2021-06-18 2022-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method of forming the same
WO2023200027A1 (ko) 2022-04-14 2023-10-19 주식회사 올도완 포토레지스트 파티클 제거방법

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
JPH06326060A (ja) 1993-05-12 1994-11-25 Hitachi Ltd 固体表面加工方法
US6517602B2 (en) * 2000-03-14 2003-02-11 Hitachi Metals, Ltd Solder ball and method for producing same
US6562700B1 (en) * 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US7067407B2 (en) * 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7018469B2 (en) * 2003-09-23 2006-03-28 Micron Technology, Inc. Atomic layer deposition methods of forming silicon dioxide comprising layers
US7365026B2 (en) 2005-02-01 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. CxHy sacrificial layer for cu/low-k interconnects
US20070095367A1 (en) 2005-10-28 2007-05-03 Yaxin Wang Apparatus and method for atomic layer cleaning and polishing
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US8481423B2 (en) 2007-09-19 2013-07-09 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics
JP5085595B2 (ja) * 2008-09-08 2012-11-28 株式会社東芝 コアシェル型磁性材料、コアシェル型磁性材料の製造方法、デバイス装置、およびアンテナ装置。
US7759239B1 (en) * 2009-05-05 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of reducing a critical dimension of a semiconductor device
JP5813303B2 (ja) * 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20120100308A1 (en) * 2010-10-25 2012-04-26 Asm America, Inc. Ternary metal alloys with tunable stoichiometries
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US8809994B2 (en) 2011-12-09 2014-08-19 International Business Machines Corporation Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US8969997B2 (en) 2012-11-14 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structures and methods of forming the same
US8927989B2 (en) 2012-11-28 2015-01-06 International Business Machines Corporation Voltage contrast inspection of deep trench isolation
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US9362163B2 (en) * 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6452136B2 (ja) 2013-09-04 2019-01-16 東京エレクトロン株式会社 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離
US9257431B2 (en) * 2013-09-25 2016-02-09 Micron Technology, Inc. Memory cell with independently-sized electrode
WO2015146749A1 (ja) 2014-03-26 2015-10-01 東レ株式会社 半導体装置の製造方法及び半導体装置
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9768033B2 (en) 2014-07-10 2017-09-19 Tokyo Electron Limited Methods for high precision etching of substrates
WO2016033087A1 (en) 2014-08-28 2016-03-03 Cardiac Pacemakers, Inc. Display of temporally aligned heart information from separate implantable medical devices on an extracorporeal display
US9362131B2 (en) 2014-08-29 2016-06-07 Applied Materials, Inc. Fast atomic layer etch process using an electron beam
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
TW202336855A (zh) 2015-06-05 2023-09-16 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
KR20170050056A (ko) 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography

Also Published As

Publication number Publication date
CN110892332B (zh) 2022-11-29
JP2020527856A (ja) 2020-09-10
CN115793404A (zh) 2023-03-14
TW201908516A (zh) 2019-03-01
WO2019018227A1 (en) 2019-01-24
CN110892332A (zh) 2020-03-17
US20180312973A1 (en) 2018-11-01
US10494715B2 (en) 2019-12-03

Similar Documents

Publication Publication Date Title
JP7460727B2 (ja) パターニング用途のための原子層エッチング、反応性前駆体、及びエネルギ源
CN110892332B (zh) 除去光致抗蚀剂图案化浮渣的原子层清洁
US9997371B1 (en) Atomic layer etch methods and hardware for patterning applications
JP6948797B2 (ja) 不揮発性金属をパターニングするためのチャンバ
KR20180117525A (ko) Ale (atomic layer etch) 리셋을 사용한 선택적인 증착
KR20150103642A (ko) 금속 산화물 막 및 금속 질화물 막의 표면 거칠기를 감소시키기 위한 rf 사이클 퍼지
KR20200123482A (ko) 챔퍼리스 (chamferless) 비아 통합 스킴 (scheme)
JP2021512504A (ja) マルチプルパターンニング処理での原子層堆積を使用するスペーサプロファイル制御
US20220351940A1 (en) Plasma-enhanced atomic layer deposition with radio-frequency power ramping
WO2020028119A1 (en) Non-selective and selective etching through alternating layers of materials
TW202238685A (zh) 利用寬間隙電極間距在低壓力條件下之高選擇性、低應力、及低氫碳硬遮罩
JP2023515065A (ja) コア除去
TW202219644A (zh) 用於在euv圖案化中減少缺陷的多層硬遮罩

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right