CN115605458A - 化合物及其制造方法、产酸剂、组合物、抗蚀膜、下层膜、图案形成方法和光学物品 - Google Patents

化合物及其制造方法、产酸剂、组合物、抗蚀膜、下层膜、图案形成方法和光学物品 Download PDF

Info

Publication number
CN115605458A
CN115605458A CN202180034592.7A CN202180034592A CN115605458A CN 115605458 A CN115605458 A CN 115605458A CN 202180034592 A CN202180034592 A CN 202180034592A CN 115605458 A CN115605458 A CN 115605458A
Authority
CN
China
Prior art keywords
group
carbon atoms
formula
composition
compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180034592.7A
Other languages
English (en)
Inventor
工藤宏人
佐藤隆
大松祯
越后雅敏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Gas Chemical Co Inc
Kansai University
Original Assignee
Mitsubishi Gas Chemical Co Inc
Kansai University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Gas Chemical Co Inc, Kansai University filed Critical Mitsubishi Gas Chemical Co Inc
Publication of CN115605458A publication Critical patent/CN115605458A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/03Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton
    • C07C309/06Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing halogen atoms, or nitro or nitroso groups bound to the carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C323/00Thiols, sulfides, hydropolysulfides or polysulfides substituted by halogen, oxygen or nitrogen atoms, or by sulfur atoms not being part of thio groups
    • C07C323/23Thiols, sulfides, hydropolysulfides or polysulfides substituted by halogen, oxygen or nitrogen atoms, or by sulfur atoms not being part of thio groups containing thio groups and nitrogen atoms, not being part of nitro or nitroso groups, bound to the same carbon skeleton
    • C07C323/30Thiols, sulfides, hydropolysulfides or polysulfides substituted by halogen, oxygen or nitrogen atoms, or by sulfur atoms not being part of thio groups containing thio groups and nitrogen atoms, not being part of nitro or nitroso groups, bound to the same carbon skeleton having the sulfur atom of at least one of the thio groups bound to a carbon atom of a ring other than a six-membered aromatic ring of the carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C381/00Compounds containing carbon and sulfur and having functional groups not covered by groups C07C301/00 - C07C337/00
    • C07C381/12Sulfonium compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D311/00Heterocyclic compounds containing six-membered rings having one oxygen atom as the only hetero atom, condensed with other rings
    • C07D311/02Heterocyclic compounds containing six-membered rings having one oxygen atom as the only hetero atom, condensed with other rings ortho- or peri-condensed with carbocyclic rings or ring systems
    • C07D311/78Ring systems having three or more relevant rings
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0005Production of optical devices or components in so far as characterised by the lithographic processes or materials used therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

提供一种高灵敏度且分辨率高、具有高平坦性的化合物。下述式(P‑0)所示的化合物。(式(P‑0)中,Ar为碳原子数6~60的具有芳基的基团,ORTS各自独立地为羟基或具有特定离子部位的基团。n1为1~20的整数。其中,ORTS中的至少一个为具有特定离子部位的基团。)

Description

化合物及其制造方法、产酸剂、组合物、抗蚀膜、下层膜、图案 形成方法和光学物品
技术领域
本发明涉及化合物及其制造方法、产酸剂、组合物、抗蚀膜、下层膜、图案形成方法和光学物品。
背景技术
在半导体设备的制造中,通过使用光致抗蚀材料的光刻来进行微细加工,但近年来,随着LSI(大规模集成电路)的高集成化和高速度化,寻求基于图案规则的进一步微细化。
至今为止的一般抗蚀材料为能够形成非晶薄膜的高分子系抗蚀材料。可列举出例如聚甲基丙烯酸甲酯、具有解离性反应基团的多羟基苯乙烯或聚甲基丙烯酸烷基酯等高分子系抗蚀材料。并且,对通过将这种高分子系抗蚀材料的溶液涂布在基板上而制作的抗蚀薄膜照射紫外线、远紫外线、电子射线、极紫外线(Extreme UltraViolet:以下适当称为“EUV”)、X射线等,由此形成45~100nm左右的线图案(例如参照非专利文献1)。
然而,高分子系抗蚀材料的分子量大、为1万~10万左右,分子量分布也广。因此,在使用高分子系抗蚀材料的光刻中,在微细图案表面产生凹凸不平,难以控制图案尺寸,成品率降低。因此,在使用以往的高分子系抗蚀材料的光刻中,微细化存在极限。为了制作更微细的图案,提出了各种低分子量抗蚀材料。
例如,提出了将低分子量多核多酚化合物用作主成分的碱显影型的负型辐射线敏感性组合物(例如参照专利文献1和2)。另外,作为具有高耐热性的低分子量抗蚀材料的候补,还提出了将低分子量环状多酚化合物用作主成分的碱显影型的负型辐射线敏感性组合物(例如参照专利文献3和非专利文献2)。进而,作为抗蚀材料的基础化合物,已知多酚化合物尽管分子量低但仍然能够赋予高耐热性,对于改善抗蚀图案的清晰度、凹凸不平而言是有用的(例如参照非专利文献3)。
另外,基于电子射线或极紫外线(EUV)的光刻的反应机理与通常的光刻不同。进而,在基于电子射线或EUV的光刻中,以形成几十nm的微细图案作为目标。像这样,抗蚀图案尺寸越小,则寻求对曝光光源的灵敏度越高的抗蚀材料。尤其在基于EUV的光刻中,从生产能力的观点出发,需要实现抗蚀组合物的高灵敏度化。
作为对它们加以改善的抗蚀材料,提出了例如具有钛、铪、锆的无机抗蚀材料(例如参照专利文献4和5)。
现有技术文献
专利文献
专利文献1:日本特开2005-326838号公报
专利文献2:日本特开2008-145539号公报
专利文献3:日本特开2009-173623号公报
专利文献4:日本特开2015-75500号公报
专利文献5:日本特开2015-108781号公报
非专利文献
非专利文献1:冈崎信次及其他8人、“光刻技术的40年”、S&T出版
非专利文献2:T.Nakayama,M.Nomura,K.Haga,M.Ueda:Bull.Chem.Soc.Jpn.,71,2979(1998)
非专利文献3:冈崎信次及其他22人、“光致抗蚀材料开发的新展开”、CMC公司出版、2009年9月、p.211-259
发明内容
发明要解决的问题
然而,无机抗蚀材料的灵敏度低,可使用时间短。另外,从分辨率的观点出发,也要求实现更高的分辨率化。
本发明的目的在于,提供高灵敏度且分辨率高、具有高平坦性的化合物及其制造方法、产酸剂、包含该化合物或该产酸剂的组合物、抗蚀膜、下层膜、光学物品、以及使用该化合物或该产酸剂的图案形成方法。
用于解决问题的方案
本发明人等为了解决前述课题而进行了深入研究,结果发现:特定的化合物或产酸剂能够解决前述课题,从而完成了本发明。即,本发明如下所示。
[1]一种化合物,其用下述式(P-0)表示,
Figure BDA0003937760850000031
(式(P-0)中,Ar为碳原子数6~60的具有芳基的基团;ORTS各自独立地为羟基、下述式(TS-0)所示的基团或下述式(TS-1)所示的基团;n1为1~20的整数;其中,ORTS中的至少一个为下述式(TS-0)所示的基团或下述式(TS-1)所示的基团。)
Figure BDA0003937760850000032
(式(TS-0)中,R1为单键或任选具有取代基的碳原子数1~30的二价基团;R2为任选具有取代基的碳原子数1~10的烷基或任选具有取代基的碳原子数6~10的芳基;R3为任选具有取代基的碳原子数1~10的烷基或任选具有取代基的碳原子数6~10的芳基;An-为包含氟或碘的阴离子。)
Figure BDA0003937760850000041
(式(TS-1)中,R1、R3和An-与式(TS-0)的含义相同。)
[2]根据[1]所述的化合物,其中,前述式(TS-0)和前述式(TS-1)中,R3为任选具有取代基的碳原子数1~10的烷基;An-为R4SO3 -(R4为任选具有取代基的碳原子数1~9的包含氟或碘的一价基团。)。
[3]根据[1]或[2]所述的化合物,其中,前述式(TS-0)和前述式(TS-1)中,R1为任选具有取代基的碳原子数2~6的二价基团。
[4]根据[1]~[3]中任一项所述的化合物,其中,前述式(TS-0)中,R2为甲基或乙基。
[5]根据[4]所述的化合物,其中,前述式(TS-0)中,R2为甲基。
[6]根据[1]~[5]中任一项所述的化合物,其中,前述式(TS-0)和前述式(TS-1)中,R3为甲基,An-为CF3SO3 -
[7]根据[1]~[6]中任一项所述的化合物,其为下述式(P-0A)所示的化合物。
Figure BDA0003937760850000051
(式(P-0A)中,X各自独立地为氧原子、硫原子或未桥接;R4为单键或任选具有取代基的碳原子数1~30的2n价基团;R5和R6各自独立地为卤素原子、任选具有取代基的碳原子数1~30的直链状烷基、任选具有取代基的碳原子数3~30的支链状烷基、任选具有取代基的碳原子数3~30的环状烷基、任选具有取代基的碳原子数6~30的芳基、任选具有取代基的碳原子数2~30的烯基、任选具有取代基的碳原子数2~30的炔基、任选具有取代基的碳原子数1~30的烷氧基、氰基、硝基、氨基、羧酸基、硫醇基、羟基、前述式(TS-0)所示的基团或前述式(TS-1)所示的基团,前述烷基、前述芳基、前述烯基、前述炔基、前述烷氧基任选包含醚键、酮键或酯键。m1和m2各自独立地为0~7的整数;p1和p2各自独立地为0或1;n2为1~4的整数。其中,m1和m2中的至少一者为1~7的整数;式(P-0A)包含至少一个作为R5或R6的前述式(TS-0)所示的基团或前述式(TS-1)所示的基团。)
[8]根据[1]~[6]中任一项所述的化合物,其为下述式(P-0B)所示的化合物。
Figure BDA0003937760850000061
(式(P-0B)中,R7为碳原子数1~30的2n价基团;R8~R11各自独立地为卤素原子、任选具有取代基的碳原子数1~10的直链状烷基、任选具有取代基的碳原子数3~30的支链状烷基、任选具有取代基的碳原子数3~30的环状烷基、任选具有取代基的碳原子数6~30的芳基、任选具有取代基的碳原子数2~30的烯基、任选具有取代基的碳原子数2~30的炔基、任选具有取代基的碳原子数1~30的烷氧基、硫醇基、氰基、硝基、氨基、羧酸基、羟基、前述式(TS-0)所示的基团或前述式(TS-1)所示的基团,前述烷基、前述芳基、前述烯基、前述炔基、前述烷氧基任选包含醚键、酮键或酯键。m3和m4各自独立地为0~8的整数;m5和m6各自独立地为0~9的整数;p3~p6各自独立地为0~2的整数;n3为1~4的整数。其中,m3、m4、m5和m6中的至少一者为1以上的整数;式(P-0B)包含至少一个作为R8、R9、R10或R11的前述式(TS-0)所示的基团或前述式(TS-1)所示的基团。)
[9]根据[1]~[6]中任一项所述的化合物,其为下述式(P-0C)所示的化合物。
Figure BDA0003937760850000071
(式(P-0C)中,L1~L4各自独立地为单键、任选具有取代基的碳原子数1~20的直链状亚烷基、任选具有取代基的碳原子数3~20的支链状亚烷基、任选具有取代基的碳原子数3~20的亚环烷基、任选具有取代基的碳原子数6~24的亚芳基、-O-、-OC(=O)-、-OC(=O)O-、-N(R20)-C(=O)-、-N(R20)-C(=O)O-、-S-、-SO-或-SO2-;R20为氢原子或任选具有取代基的碳原子数1~10的烷基。R16~R19各自独立地为任选具有取代基的碳原子数1~20的烷基、任选具有取代基的碳原子数3~20的环烷基、任选具有取代基的碳原子数6~20的芳基、任选具有取代基的碳原子数1~20的烷氧基、前述式(TS-0)所示的基团、前述式(TS-1)所示的基团、氰基、硝基、羟基、杂环基、卤素原子、羧基、碳原子数1~20的烷基甲硅烷基;具有因酸而发生解离这一性质的、碳原子数2~20的取代甲基、碳原子数3~20的1-取代乙基、碳原子数4~20的1-取代正丙基、碳原子数3~20的1-支链烷基、碳原子数1~20的甲硅烷基、碳原子数2~20的酰基、碳原子数2~20的1-取代烷氧基烷基、碳原子数2~20的环状醚基、碳原子数2~20的烷氧基羰基、烷氧基羰基烷基;或者氢原子。R12~R15各自独立地为碳原子数2~20的烷基、前述式(TS-0)所示的基团、前述式(TS-1)所示的基团或下述式(P-0C-1)所示的基团。
Figure BDA0003937760850000081
R21各自独立地为任选具有取代基的碳原子数1~20的烷基、任选具有取代基的碳原子数3~20的环烷基、任选具有取代基的碳原子数6~20的芳基、任选具有取代基的碳原子数1~20的烷氧基、氰基、硝基、杂环基、卤素原子、羧基、碳原子数1~20的烷基甲硅烷基;具有因酸而发生解离这一性质的、碳原子数2~20的取代甲基、碳原子数3~20的1-取代乙基、碳原子数4~20的1-取代正丙基、碳原子数3~20的1-支链烷基、碳原子数1~20的甲硅烷基、碳原子数2~20的酰基、碳原子数2~20的1-取代烷氧基烷基、碳原子数2~20的环状醚基、碳原子数2~20的烷氧基羰基、或者烷氧基羰基烷基。其中,R12~R19中的至少一个为前述式(TS-0)所示的基团或前述式(TS-1)所示的基团。m7~m10各自独立地为1~4的整数;p7为0~5的整数。)
[10]根据[1]~[6]中任一项所述的化合物,其为下述式(P-1)所示的化合物。
Figure BDA0003937760850000082
(式(P-1)中,ORTS与前述式(P-0)的含义相同。)
[11]一种组合物,其包含[1]~[10]中任一项所述的化合物。
[12]根据[11]所述的组合物,其还含有溶剂。
[13]根据[11]或[12]所述的组合物,其还含有产酸剂。
[14]根据[11]~[13]中任一项所述的组合物,其还含有酸交联剂。
[15]一种抗蚀膜,其由[11]~[14]中任一项所述的组合物形成。
[16]一种图案形成方法,其包括:
使用[11]~[14]中任一项所述的组合物,在基板上形成膜的膜形成工序;
对前述膜进行曝光的曝光工序;以及
对在前述曝光工序中进行了曝光的膜进行显影,形成图案的显影工序。
[17][1]~[10]中任一项所述的化合物的制造方法,其包括:
使下述式(P-0’)所示的化合物与下述式(TS-0’)所示的化合物或下述式(TS-1’)所示的化合物进行缩合,得到缩合物的工序;以及
使前述缩合物、具有包含氟或碘的阴离子的盐与烷基化剂发生反应的工序。
Figure BDA0003937760850000091
(式(P-0’)中,Ar和n1与前述式(P-0)的含义相同。)
Figure BDA0003937760850000092
(式(TS-0’)中,X为卤素原子;R1和R2与前述式(TS-0)的含义相同。)
Figure BDA0003937760850000101
(式(TS-1’)中,X为卤素原子;R1与前述式(TS-1)的含义相同。)
[18]一种产酸剂,其包含[1]~[10]中任一项所述的化合物。
[19]一种组合物,其包含[18]所述的产酸剂。
[20]根据[19]所述的组合物,其还含有溶剂。
[21]根据[19]或[20]所述的组合物,其还含有酸交联剂。
[22]根据[19]~[21]中任一项所述的组合物,其为光刻用下层膜形成用组合物。
[23]根据[22]所述的组合物,其还含有含硅化合物。
[24]一种下层膜,其由[22]或[23]所述的组合物形成。
[25]一种图案形成方法,其包括:
使用[22]或[23]所述的组合物来形成抗蚀剂下层膜的工序;
在前述抗蚀剂下层膜上形成至少1层光致抗蚀层的工序;以及
对前述光致抗蚀层的规定区域照射辐射线、进行显影的工序。
[26]根据[19]~[21]中任一项所述的组合物,其为光学物品形成用组合物。
[27]一种光学物品,其由[26]所述的组合物形成。
发明的效果
根据本发明,可提供高灵敏度且分辨率高、具有高平坦性的化合物及其制造方法、产酸剂、包含该化合物或该产酸剂的组合物、抗蚀膜、下层膜、光学物品、以及使用该化合物或该产酸剂的图案形成方法。
附图说明
图1是实施例1中的BEPMS的1H-NMR波谱。
图2是实施例1中的MTP-BEPMS的1H-NMR波谱。
图3是实施例1中的MTP-BEPMS离子化合物的1H-NMR波谱。
图4是实施例8中的BHPMS的1H-NMR波谱。
图5是实施例8中的MTP-BHPMS的1H-NMR波谱。
图6是实施例8中的MTP-BHPMS离子化合物的1H-NMR波谱。
具体实施方式
以下,针对本发明的实施方式进行说明(以下有时称为“本实施方式”)。需要说明的是,本实施方式是用于说明本发明的例示,本发明并不仅限定于本实施方式。
[化合物]
本实施方式所述的化合物用下述式(P-0)表示。
Figure BDA0003937760850000111
(式(P-0)中,Ar为碳原子数6~60的具有芳基的基团;ORTS各自独立地为羟基、下述式(TS-0)所示的基团或下述式(TS-1)所示的基团。n1为1~20的整数。其中,ORTS中的至少一个为下述式(TS-0)所示的基团或下述式(TS-1)所示的基团。)
Figure BDA0003937760850000121
(式(TS-0)中,R1为单键或任选具有取代基的碳原子数1~30的二价基团;R2为任选具有取代基的碳原子数1~10的烷基或任选具有取代基的碳原子数6~10的芳基;R3为任选具有取代基的碳原子数1~10的烷基或任选具有取代基的碳原子数6~10的芳基;An-为包含氟或碘的阴离子。)
Figure BDA0003937760850000122
(式(TS-1)中,R1、R3和An-与式(TS-0)的含义相同。)
本实施方式所述的化合物的化学结构可通过1H-NMR测定和IR测定来确认。前述化合物包含具有末端特定结构的离子部位,因此,在用作抗蚀材料等的情况下,显示出高灵敏度、高分辨率和高平坦性。本实施方式所述的化合物的分子扩散速度是适度的,因此,在维持高灵敏度的同时显示出高分辨率。另外,因具有适度的分子量而不易挥发,固化时的膜减量较少,因此,显示出高平坦性。
需要说明的是,本说明书中,“取代”只要没有另行定义,则意味着官能团中的一个以上氢原子被取代基取代。作为“取代基”,没有特别限定,可列举出例如卤素原子、羟基、氰基、硝基、氨基、硫醇基、杂环基、碳原子数1~20的直链状脂肪族烃基、碳原子数3~20的支链状脂肪族烃基、碳原子数3~20的环状脂肪族烃基、碳原子数6~20的芳基、碳原子数1~20的烷氧基、碳原子数0~20的氨基、碳原子数2~20的烯基、碳原子数2~20的炔基、碳原子数1~20的酰基、碳原子数2~20的烷氧基羰基、碳原子数1~20的烷酰氧基、碳原子数7~30的芳酰氧基或碳原子数1~20的烷基甲硅烷基。
前述式(P-0)中,Ar为碳原子数6~60的具有芳基的基团。Ar的碳原子数优选为5~40。作为Ar,可列举出例如苯基、萘基、蒽基、联苯基、芴基和包含它们的基团等。前述式(P-0)中,ORTS各自独立地为羟基、前述式(TS-0)所示的基团或前述式(TS-1)所示的基团。n1为1~20的整数,ORTS中的至少一个为前述式(TS-0)所示的基团或前述式(TS-1)所示的基团。即,前述式(P-0)包含至少一个前述式(TS-0)所示的基团或前述式(TS-1)所示的基。n1优选为1~4。
前述式(TS-0)中,R1为单键或任选具有取代基的碳原子数1~30的二价基团,优选为任选具有取代基的碳原子数2~6的二价基团。作为碳原子数2~6的二价基团,可列举出例如亚乙氧基、亚丙氧基等碳原子数2~6的亚烷氧基、亚苯基等。前述式(TS-0)中,R2为任选具有取代基的碳原子数1~10的烷基或任选具有取代基的碳原子数6~10的芳基。作为碳原子数1~10的烷基,可列举出例如甲基、乙基、丙基、异丙基、丁基、叔丁基、癸基、环己基等。作为碳原子数6~10的芳基,可列举出例如苯基、萘基等。这些之中,作为R2,优选为甲基、乙基或苯基,更优选为甲基。
前述式(TS-0)中,R3为任选具有取代基的碳原子数1~10的烷基或任选具有取代基的碳原子数6~10的芳基,优选为任选具有取代基的碳原子数1~10的烷基。作为碳原子数1~10的烷基,可列举出例如甲基、乙基、丙基、异丙基、丁基、叔丁基、癸基、环己基等,优选为甲基。前述式(TS-0)中,An-为包含氟或碘的阴离子,优选为R4SO3 -(R4为碳原子数1~9的包含氟或碘的一价基团)、PF6 -、SbF6 -。作为R4,可列举出例如三氟甲基、九氟丁基等。这些之中,作为An-,优选为CF3SO3 -
前述式(TS-1)中,R1、R3和An-与式(TS-0)的含义相同,优选为与式(TS-0)相同的基团。
作为前述式(P-0)所示的化合物,优选为例如下述式(P-0A)所示的化合物。
Figure BDA0003937760850000141
(式(P-0A)中,X各自独立地为氧原子、硫原子或未桥接;R4为单键或任选具有取代基的碳原子数1~30的2n价基团;R5和R6各自独立地为卤素原子、任选具有取代基的碳原子数1~30的直链状烷基、任选具有取代基的碳原子数3~30的支链状烷基、任选具有取代基的碳原子数3~30的环状烷基、任选具有取代基的碳原子数6~30的芳基、任选具有取代基的碳原子数2~30的烯基、任选具有取代基的碳原子数2~30的炔基、任选具有取代基的碳原子数1~30的烷氧基、氰基、硝基、氨基、羧酸基、硫醇基、羟基、前述式(TS-0)所示的基团或前述式(TS-1)所示的基团,前述烷基、前述芳基、前述烯基、前述炔基、前述烷氧基任选包含醚键、酮键或酯键。m1和m2各自独立地为0~7的整数;p1和p2各自独立地为0或1;n2为1~4的整数。其中,m1和m2中的至少一者为1~7的整数;式(P-0A)包含至少一个作为R5或R6的前述式(TS-0)所示的基团或前述式(TS-1)所示的基团。)
前述式(P-0A)中,R4为单键或任选具有取代基的碳原子数1~30的2n价基团。作为碳原子数1~30的2n价基团,优选为碳原子数1~16的2n价基团,可列举出例如亚甲基、苯基亚甲基、萘基亚甲基、联苯基亚甲基、环己基苯基亚甲基、蒽基亚甲基、联苯基亚乙基等。前述R4优选为RA-RB所示的基团,此处,该RA为次甲基,该RB为任选具有取代基的碳原子数5~29的芳基,该情况下,前述n2为1。
前述式(P-0A)中,R5和R6各自独立地为卤素原子、任选具有取代基的碳原子数1~30的直链状烷基、任选具有取代基的碳原子数3~30的支链状烷基、任选具有取代基的碳原子数3~30的环状烷基、任选具有取代基的碳原子数6~30的芳基、任选具有取代基的碳原子数2~30的烯基、任选具有取代基的碳原子数2~30的炔基、任选具有取代基的碳原子数1~30的烷氧基、氰基、硝基、氨基、羧酸基、硫醇基、羟基、前述式(TS-0)所示的基团或前述式(TS-1)所示的基团。前述烷基、前述芳基、前述烯基、前述炔基、前述烷氧基任选包含醚键、酮键或酯键。作为卤素原子,可列举出氟原子、氯原子、溴原子、碘原子。作为碳原子数1~30的直链状烷基,可列举出例如甲基、乙基、丙基、丁基、癸基等。作为碳原子数3~30的支链状烷基,可列举出例如异丙基、异丁基、叔丁基等。作为碳原子数3~30的环状烷基,可列举出例如环丙基、环丁基、环戊基、环己基、环癸基、九氢萘基等。作为碳原子数6~30的芳基,可列举出例如苯基、萘基等。作为碳原子数2~30的烯基,可列举出例如乙烯基、烯丙基等。作为碳原子数1~30的烷氧基,可列举出例如甲氧基、乙氧基、丙氧基、丁氧基、三十烷氧基等。
前述式(P-0A)中,m1和m2各自独立地为0~7的整数,优选为1~7的整数。其中,m1和m2中的至少一者为1~7的整数,式(P-0A)包含至少一个作为R5或R6的前述式(TS-0)所示的基团或前述式(TS-1)所示的基团。p1和p2各自独立地为0或1。n2为1~4的整数,优选为1~2的整数。
作为前述式(P-0A)所示的化合物,可列举出例如国际公开第2013/024778号中公开的化合物的羟基(-OH)被-ORTS所示的基团取代而得到的化合物。具体而言,可列举出以下的化合物。需要说明的是,前述式(P-0A)所示的化合物不限定于这些具体化合物。
Figure BDA0003937760850000161
Figure BDA0003937760850000171
Figure BDA0003937760850000181
Figure BDA0003937760850000191
Figure BDA0003937760850000201
式中,RA为氟原子、氯原子、溴原子、碘原子、羟基、氰基、硝基、氨基、硫醇基、杂环基、碳原子数1~20的直链状脂肪族烃基、碳原子数3~20的支链状脂肪族烃基、碳原子数3~20的环状脂肪族烃基、碳原子数6~20的芳基、碳原子数1~20的烷氧基、碳原子数0~20的氨基、碳原子数2~20的烯基、碳原子数2~20的炔基、碳原子数1~20的酰基、碳原子数2~20的烷氧基羰基、碳原子数1~20的烷酰氧基、碳原子数7~30的芳酰氧基或碳原子数1~20的烷基甲硅烷基,ORTS与前述式(P-0)的含义相同。作为碳原子数1~20的直链状脂肪族烃基,可列举出甲基、乙基、丙基、丁基、辛基、壬基、癸基、十二烷基等。
作为前述式(P-0)所示的化合物,优选为例如下述式(P-0B)所示的化合物。
Figure BDA0003937760850000211
(式(P-0B)中,R7为碳原子数1~30的2n价基团,R8~R11各自独立地为卤素原子、任选具有取代基的碳原子数1~10的直链状烷基、任选具有取代基的碳原子数3~30的支链状烷基、任选具有取代基的碳原子数3~30的环状烷基、任选具有取代基的碳原子数6~30的芳基、任选具有取代基的碳原子数2~30的烯基、任选具有取代基的碳原子数2~30的炔基、任选具有取代基的碳原子数1~30的烷氧基、硫醇基、氰基、硝基、氨基、羧酸基、羟基、前述式(TS-0)所示的基团或前述式(TS-1)所示的基团,前述烷基、前述芳基、前述烯基、前述炔基、前述烷氧基任选包含醚键、酮键或酯键。m3和m4各自独立地为0~8的整数;m5和m6各自独立地为0~9的整数;p3~p6各自独立地为0~2的整数;n3为1~4的整数。其中,m3、m4、m5和m6中的至少一者为1以上的整数;式(P-0B)包含至少一个作为R8、R9、R10或R11的前述式(TS-0)所示的基团或前述式(TS-1)所示的基团。)
前述式(P-0B)中,R7为碳原子数1~30的2n价基团,优选为碳原子数1~16的2n价基团,可列举出例如亚甲基、苯基亚甲基、萘基亚甲基、联苯基亚甲基、环己基苯基亚甲基、蒽基亚甲基、联苯基亚乙基等。前述R7优选为RA-RB所示的基团,此处,该RA为次甲基,该RB为任选具有取代基的碳原子数5~29的芳基,该情况下,前述n2为1。
前述式(P-0B)中,R8~R11各自独立地为卤素原子、任选具有取代基的碳原子数1~10的直链状烷基、任选具有取代基的碳原子数3~30的支链状烷基、任选具有取代基的碳原子数3~30的环状烷基、任选具有取代基的碳原子数6~30的芳基、任选具有取代基的碳原子数2~30的烯基、任选具有取代基的碳原子数2~30的炔基、任选具有取代基的碳原子数1~30的烷氧基、硫醇基、氰基、硝基、氨基、羧酸基、羟基、前述式(TS-0)所示的基团或前述式(TS-1)所示的基团。前述烷基、前述芳基、前述烯基、前述炔基、前述烷氧基任选包含醚键、酮键或酯键。作为卤素原子,可列举出氟原子、氯原子、溴原子、碘原子。作为碳原子数1~10的直链状烷基,可列举出例如甲基、乙基、丙基、丁基、癸基等。作为碳原子数3~30的支链状烷基,可列举出例如异丙基、异丁基、叔丁基等。作为碳原子数3~30的环状烷基,可列举出例如环丙基、环丁基、环戊基、环己基、环癸基、九氢萘基等。作为碳原子数6~30的芳基,可列举出例如苯基、萘基等。作为碳原子数2~30的烯基,可列举出例如乙烯基、烯丙基等。作为碳原子数1~30的烷氧基,可列举出例如甲氧基、乙氧基、丙氧基、丁氧基、三十烷氧基等。
前述式(P-0B)中,m3和m4各自独立地为0~8的整数,优选为0~2的整数。m5和m6各自独立地为0~9的整数,优选为0~2的整数。其中,m3、m4、m5和m6中的至少一者为1以上的整数;式(P-0B)包含至少一个作为R8、R9、R10或R11的前述式(TS-0)所示的基团或前述式(TS-1)所示的基团。p3~p6各自独立地为0~2的整数,优选为0~1的整数。n3为1~4的整数,优选为1~2的整数。
作为前述式(P-0B)所示的化合物,可列举出例如国际公开第2015/137486号中公开的化合物的羟基(-OH)被-ORTS所示的基团取代而得到的化合物,具体而言,可列举出以下的化合物。需要说明的是,前述式(P-0B)所示的化合物不限定于这些具体化合物。
Figure BDA0003937760850000231
Figure BDA0003937760850000241
Figure BDA0003937760850000251
Figure BDA0003937760850000261
Figure BDA0003937760850000271
Figure BDA0003937760850000281
Figure BDA0003937760850000291
Figure BDA0003937760850000301
Figure BDA0003937760850000311
Figure BDA0003937760850000321
Figure BDA0003937760850000331
Figure BDA0003937760850000341
Figure BDA0003937760850000351
式中,RA为氟原子、氯原子、溴原子、碘原子、羟基、氰基、硝基、氨基、硫醇基、杂环基、碳原子数1~20的直链状脂肪族烃基、碳原子数3~20的支链状脂肪族烃基、碳原子数3~20的环状脂肪族烃基、碳原子数6~20的芳基、碳原子数1~20的烷氧基、碳原子数0~20的氨基、碳原子数2~20的烯基、碳原子数2~20的炔基、碳原子数1~20的酰基、碳原子数2~20的烷氧基羰基、碳原子数1~20的烷酰氧基、碳原子数7~30的芳酰氧基或碳原子数1~20的烷基甲硅烷基,ORTS与前述式(P-0)的含义相同。作为碳原子数1~20的直链状脂肪族烃基,可列举出甲基、乙基、丙基、丁基、辛基、壬基、癸基、十二烷基等。
作为前述式(P-0)所示的化合物,优选为例如下述式(P-0C)所示的化合物。
Figure BDA0003937760850000361
(式(P-0C)中,L1~L4各自独立地为单键、任选具有取代基的碳原子数1~20的直链状亚烷基、任选具有取代基的碳原子数3~20的支链状亚烷基、任选具有取代基的碳原子数3~20的亚环烷基、任选具有取代基的碳原子数6~24的亚芳基、-O-、-OC(=O)-、-OC(=O)O-、-N(R20)-C(=O)-、-N(R20)-C(=O)O-、-S-、-SO-或-SO2-;R20为氢原子或任选具有取代基的碳原子数1~10的烷基。R16~R19各自独立地为任选具有取代基的碳原子数1~20的烷基、任选具有取代基的碳原子数3~20的环烷基、任选具有取代基的碳原子数6~20的芳基、任选具有取代基的碳原子数1~20的烷氧基、前述式(TS-0)所示的基团、前述式(TS-1)所示的基团、氰基、硝基、羟基、杂环基、卤素原子、羧基、碳原子数1~20的烷基甲硅烷基;具有因酸而发生解离这一性质的、碳原子数2~20的取代甲基、碳原子数3~20的1-取代乙基、碳原子数4~20的1-取代正丙基、碳原子数3~20的1-支链烷基、碳原子数1~20的甲硅烷基、碳原子数2~20的酰基、碳原子数2~20的1-取代烷氧基烷基、碳原子数2~20的环状醚基、碳原子数2~20的烷氧基羰基、烷氧基羰基烷基;或者氢原子。R12~R15各自独立地为碳原子数2~20的烷基、前述式(TS-0)所示的基团、前述式(TS-1)所示的基团或下述式(P-0C-1)所示的基团。
Figure BDA0003937760850000371
R21各自独立地为任选具有取代基的碳原子数1~20的烷基、任选具有取代基的碳原子数3~20的环烷基、任选具有取代基的碳原子数6~20的芳基、任选具有取代基的碳原子数1~20的烷氧基、氰基、硝基、杂环基、卤素原子、羧基、碳原子数1~20的烷基甲硅烷基;具有因酸而发生解离这一性质的、碳原子数2~20的取代甲基、碳原子数3~20的1-取代乙基、碳原子数4~20的1-取代正丙基、碳原子数3~20的1-支链烷基、碳原子数1~20的甲硅烷基、碳原子数2~20的酰基、碳原子数2~20的1-取代烷氧基烷基、碳原子数2~20的环状醚基、碳原子数2~20的烷氧基羰基、或者烷氧基羰基烷基。其中,R12~R19中的至少一个为前述式(TS-0)所示的基团或前述式(TS-1)所示的基团。m7~m10各自独立地为1~4的整数;p7为0~5的整数。)
前述式(P-0C)中,L1~L4各自独立地为单键、任选具有取代基的碳原子数1~20的直链状亚烷基、任选具有取代基的碳原子数3~20的支链状亚烷基、任选具有取代基的碳原子数3~20的亚环烷基、任选具有取代基的碳原子数6~24的亚芳基、-O-、-OC(=O)-、-OC(=O)O-、-N(R20)-C(=O)-、-N(R20)-C(=O)O-、-S-、-SO-或-SO2-。作为碳原子数1~20的直链状亚烷基,优选为碳原子数1~10的直链状亚烷基,可列举出例如亚甲基、亚乙基、亚丙基、亚癸基等。作为碳原子数3~20的支链状亚烷基,优选为碳原子数1~16的支链状亚烷基,可列举出例如亚异丙基、亚异丁基、苯基亚甲基、萘基亚甲基、联苯基亚甲基、环己基苯基亚甲基、蒽基亚甲基、联苯基亚乙基等。作为碳原子数3~20的亚环烷基,优选为例如碳原子数3~10的亚环烷基,可列举出例如亚环丙基、亚环丁基、亚环戊基、亚环己基、亚环癸基、九氢萘基等。作为碳原子数6~24的亚芳基,优选为例如碳原子数6~12的亚芳基,可列举出例如亚苯基、亚萘基、亚联苯基等。R20为氢原子或任选具有取代基的碳原子数1~10的烷基。作为碳原子数1~10的烷基,可列举出例如甲基、乙基、丙基、异丙基、丁基、异丁基、叔丁基等。
前述式(P-0C)中,R16~R19各自独立地为任选具有取代基的碳原子数1~20的烷基、任选具有取代基的碳原子数3~20的环烷基、任选具有取代基的碳原子数6~20的芳基、任选具有取代基的碳原子数1~20的烷氧基、前述式(TS-0)所示的基团、前述式(TS-1)所示的基团、氰基、硝基、羟基、杂环基、卤素原子、羧基、碳原子数1~20的烷基甲硅烷基;具有因酸而发生解离这一性质的、碳原子数2~20的取代甲基、碳原子数3~20的1-取代乙基、碳原子数4~20的1-取代正丙基、碳原子数3~20的1-支链烷基、碳原子数1~20的甲硅烷基、碳原子数2~20的酰基、碳原子数2~20的1-取代烷氧基烷基、碳原子数2~20的环状醚基、碳原子数2~20的烷氧基羰基、烷氧基羰基烷基;或者氢原子。作为碳原子数1~20的烷基,优选为碳原子数1~10的烷基,可列举出例如甲基、乙基、丙基、异丙基、丁基、异丁基、叔丁基等。作为碳原子数3~20的环烷基,优选为碳原子数1~10的环烷基,可列举出例如环丙基、环丁基、环戊基、环己基、环癸基、九氢萘基等。作为碳原子数6~20的芳基,优选为碳原子数6~10的芳基,可列举出例如苯基、萘基、联苯基等。作为碳原子数1~20的烷氧基,优选为碳原子数1~10的烷氧基,可列举出例如甲氧基、乙氧基、丙氧基、丁氧基、癸氧基等。作为杂环基,可列举出例如吡咯基、咪唑基、咔唑基等。作为卤素原子,可列举出氟原子、氯原子、溴原子、碘原子。作为碳原子数1~20的烷基甲硅烷基,优选为碳原子数1~9的烷基甲硅烷基,可列举出例如三甲基甲硅烷基、三乙基甲硅烷基、三异丙基甲硅烷基、叔丁基二甲基甲硅烷基等。
作为具有因酸而发生解离这一性质的碳原子数2~20的取代甲基,优选为碳原子数4~18的取代甲基,更优选为碳原子数6~16的取代甲基。作为取代甲基的具体例,不限定于以下,可列举出甲氧基甲基、甲基硫代甲基、乙氧基甲基、正丙氧基甲基、异丙氧基甲基、正丁氧基甲基、叔丁氧基甲基、2-甲基丙氧基甲基、乙基硫代甲基、甲氧基乙氧基甲基、苯基氧基甲基、1-环戊基氧基甲基、1-环己基氧基甲基、苄基硫代甲基、苯甲酰甲基、4-溴苯甲酰甲基、4-甲氧基苯甲酰甲基、胡椒基和下述式(1)所示的取代基组等。需要说明的是,作为下述式(1)中的R2A的具体例,不限定于以下,可列举出甲基、乙基、异丙基、正丙基、叔丁基、正丁基等。下述式(1)中,R2A为碳原子数1~4的烷基。
Figure BDA0003937760850000391
作为具有因酸而发生解离这一性质的碳原子数3~20的1-取代乙基,优选为碳原子数5~18的1-取代乙基,更优选为碳原子数7~16的取代乙基。作为1-取代乙基的具体例,不限定于以下,可列举出1-甲氧基乙基、1-甲基硫代乙基、1,1-二甲氧基乙基、1-乙氧基乙基、1-乙基硫代乙基、1,1-二乙氧基乙基、正丙氧基乙基、异丙氧基乙基、正丁氧基乙基、叔丁氧基乙基、2-甲基丙氧基乙基、1-苯氧基乙基、1-苯基硫代乙基、1,1-二苯氧基乙基、1-环戊基氧基乙基、1-环己基氧基乙基、1-苯基乙基、1,1-二苯基乙基和下述式(2)所示的取代基组等。下述式(2)中,R2A与前述式(1)的含义相同。
Figure BDA0003937760850000401
作为具有因酸而发生解离这一性质的碳原子数4~20的1-取代正丙基,优选为碳原子数6~18的1-取代正丙基,更优选为碳原子数8~16的1-取代正丙基。作为1-取代正丙基的具体例,不限定于以下,可列举出1-甲氧基正丙基和1-乙氧基正丙基等。
作为具有因酸而发生解离这一性质的碳原子数3~20的1-支链烷基,优选为碳原子数5~18的1-支链烷基,更优选为碳原子数7~16的支链烷基。作为1-支链烷基的具体例,不限定于以下,可列举出异丙基、仲丁基、叔丁基、1,1-二甲基丙基、1-甲基丁基、1,1-二甲基丁基、2-甲基金刚烷基和2-乙基金刚烷基等。
作为具有因酸而发生解离这一性质的碳原子数1~20的甲硅烷基,优选为碳原子数3~18的甲硅烷基,更优选为碳原子数5~16的甲硅烷基。作为甲硅烷基的具体例,不限定于以下,可列举出三甲基甲硅烷基、乙基二甲基甲硅烷基、甲基二乙基甲硅烷基、三乙基甲硅烷基、叔丁基二甲基甲硅烷基、叔丁基二乙基甲硅烷基、叔丁基二苯基甲硅烷基、三叔丁基甲硅烷基和三苯基甲硅烷基等。
作为具有因酸而发生解离这一性质的碳原子数2~20的酰基,优选为碳原子数4~18的酰基,更优选为碳原子数6~16的酰基。作为酰基的具体例,不限定于以下,可列举出乙酰基、苯氧基乙酰基、丙酰基、丁酰基、庚酰基、己酰基、戊酰基、特戊酰基、异戊酰基、月桂酰基、金刚烷基羰基、苯甲酰基和萘甲酰基等。
作为具有因酸而发生解离这一性质的碳原子数2~20的1-取代烷氧基烷基,优选为碳原子数2~20的1-取代烷氧基甲基,更优选为碳原子数4~18的1-取代烷氧基甲基,进一步优选为碳原子数6~16的1-取代烷氧基甲基。作为1-取代烷氧基甲基的具体例,不限定于以下,可列举出1-环戊基甲氧基甲基、1-环戊基乙氧基甲基、1-环己基甲氧基甲基、1-环己基乙氧基甲基、1-环辛基甲氧基甲基和1-金刚烷基甲氧基甲基等。
作为具有因酸而发生解离这一性质的碳原子数2~20的环状醚基,优选为碳原子数4~18的环状醚基,更优选为碳原子数6~16的环状醚基。作为环状醚基的具体例,不限定于以下,可列举出四氢吡喃基、四氢呋喃基、四氢硫代吡喃基、四氢硫代呋喃基、4-甲氧基四氢吡喃基和4-甲氧基四氢硫代吡喃基等。
作为具有因酸而发生解离这一性质的碳原子数2~20的烷氧基羰基,优选为碳原子数4~18的烷氧基羰基,更优选为碳原子数6~16的烷氧基羰基。作为烷氧基羰基的具体例,不限定于以下,可列举出甲氧基羰基、乙氧基羰基、正丙氧基羰基、异丙氧基羰基、正丁氧基羰基、叔丁氧基羰基、下述式(3)的n=0所示的基团等。
作为具有因酸而发生解离这一性质的烷氧基羰基烷基,优选为碳原子数3~20的烷氧基羰基烷基,更优选为碳原子数4~18的烷氧基羰基烷基,进一步优选为碳原子数6~16的烷氧基羰基烷基。作为烷氧基羰基烷基的具体例,不限定于以下,可列举出甲氧基羰基甲基、乙氧基羰基甲基、正丙氧基羰基甲基、异丙氧基羰基甲基、正丁氧基羰基甲基、下述式(3)的n=1~4所示的基团等。
Figure BDA0003937760850000421
前述式(3)中,R3A为氢原子或碳原子数1~4的直链状或支链状烷基,n为0~4的整数。
前述式(P-0C)中,R12~R15各自独立地为碳原子数2~20的烷基、前述式(TS-0)所示的基团、前述式(TS-1)所示的基团或前述式(P-0C-1)所示的基团。作为碳原子数2~20的烷基,优选为碳原子数2~10的烷基,可列举出例如乙基、丙基、异丙基、丁基、异丁基、叔丁基、癸基等。
前述式(P-0C-1)中,R21各自独立地为任选具有取代基的碳原子数1~20的烷基、任选具有取代基的碳原子数3~20的环烷基、任选具有取代基的碳原子数6~20的芳基、任选具有取代基的碳原子数1~20的烷氧基、氰基、硝基、杂环基、卤素原子、羧基、碳原子数1~20的烷基甲硅烷基;具有因酸而发生解离这一性质的、碳原子数2~20的取代甲基、碳原子数3~20的1-取代乙基、碳原子数4~20的1-取代正丙基、碳原子数3~20的1-支链烷基、碳原子数1~20的甲硅烷基、碳原子数2~20的酰基、碳原子数2~20的1-取代烷氧基烷基、碳原子数2~20的环状醚基、碳原子数2~20的烷氧基羰基、或者烷氧基羰基烷基。这些各基团可以与前述式(P-0C)中的R16~R19相同。
其中,前述式(P-0C)中,R12~R19中的至少一个为前述式(TS-0)所示的基团或前述式(TS-1)所示的基团。前述式(P-0C)中,m7~m10各自独立地为1~4的整数,优选为1~3的整数。前述式(P-0C-1)中,p7为0~5的整数,优选为0~3的整数。
作为前述式(P-0C)所示的化合物,可列举出例如日本特开2009-173623号、日本特开2009-173625号中公开的化合物的羟基(-OH)被-ORTS所示的基团取代而得到的化合物,具体而言,可列举出以下的化合物。需要说明的是,前述式(P-0C)所示的化合物不限定于这些具体化合物。
Figure BDA0003937760850000431
Figure BDA0003937760850000441
式中,RA为氟原子、氯原子、溴原子、碘原子、羟基、氰基、硝基、氨基、硫醇基、杂环基、碳原子数1~20的直链状脂肪族烃基、碳原子数3~20的支链状脂肪族烃基、碳原子数3~20的环状脂肪族烃基、碳原子数6~20的芳基、碳原子数1~20的烷氧基、碳原子数0~20的氨基、碳原子数2~20的烯基、碳原子数2~20的炔基、碳原子数1~20的酰基、碳原子数2~20的烷氧基羰基、碳原子数1~20的烷酰氧基、碳原子数7~30的芳酰氧基或碳原子数1~20的烷基甲硅烷基,ORTS与前述式(P-0)的含义相同。作为碳原子数1~20的直链状脂肪族烃基,可列举出甲基、乙基、丙基、丁基、辛基、壬基、癸基、十二烷基等。
作为前述式(P-0)所示的化合物,优选为例如下述式(P-1)所示的化合物。
Figure BDA0003937760850000451
(式(P-1)中,ORTS与前述式(P-0)的含义相同。)
作为前述式(P-1)所示的化合物,可列举出例如以下的化合物。需要说明的是,前述式(P-1)所示的化合物不限定于这些具体化合物。
Figure BDA0003937760850000461
Figure BDA0003937760850000471
[化合物的制造方法]
本实施方式所述的化合物的制造方法包括:使下述式(P-0’)所示的化合物与下述式(TS-0’)所示的化合物或下述式(TS-1’)所示的化合物进行缩合而得到缩合物的工序(以下也称为缩合工序);以及,使前述缩合物、具有包含氟或碘的阴离子的盐与烷基化剂发生反应的工序(以下也称为烷基化工序)。
需要说明的是,本说明书中,“烷基化”只要没有另行定义,就表示烷基化或芳基化,“烷基化剂”表示烷基化剂或芳基化剂,“烷基化工序”表示烷基化工序或芳基化工序。
Figure BDA0003937760850000481
(式(P-0’)中,Ar和n1与前述式(P-0)的含义相同。)
Figure BDA0003937760850000482
(式(TS-0’)中,X为卤素原子;R1和R2与前述式(TS-0)的含义相同。)
Figure BDA0003937760850000483
(式(TS-1’)中,X为卤素原子;R1与前述式(TS-1)的含义相同。)
根据前述方法,能够高效地制造本实施方式所述的化合物。作为前述式(TS-0’)和式(TS-1’)中的卤素原子,可列举出氟原子、氯原子、溴原子、碘原子。
(缩合工序)
本工序中,使前述式(P-0’)所示的化合物与前述式(TS-0’)所示的化合物或前述式(TS-1’)所示的化合物进行缩合,得到缩合物。前述式(TS-0’)所示的化合物或前述式(TS-1’)所示的化合物可通过例如使前述式(TS-0’)或前述式(TS-1’)的XR1基为羟基的化合物与X-R1-X发生反应来获得。前述式(P-0’)所示的化合物与前述式(TS-0’)所示的化合物或前述式(TS-1’)所示的化合物的缩合反应可通过例如在强酸的存在下发生缩合反应的方法等来实施。
(烷基化工序)
本工序中,使通过前述缩合工序而得到的缩合物、具有包含氟或碘的阴离子的盐与烷基化剂发生反应。前述反应可通过例如与阴离子的碱金属盐或H+X所示的酸发生反应的方法等来实施。
[第一组合物]
本实施方式所述的第一组合物包含本实施方式所述的化合物。本实施方式所述的第一组合物可以为例如光刻用材料、光刻用材料组合物等。
(光刻用材料)
本实施方式所述的光刻用材料含有本实施方式所述的化合物。本实施方式所述的光刻用材料只要是能够用于光刻技术的材料、且含有本实施方式所述的化合物,就没有特别限定,例如可以与溶剂等一同以光刻用材料组合物的形式使用,进而,可以用于抗蚀用途(即,抗蚀组合物)等。
本实施方式所述的光刻用材料中含有本实施方式所述的化合物,因此,灵敏度高且分辨率高,具有高平坦性。本实施方式所述的光刻用材料可以不含溶剂。
(光刻用材料组合物)
本实施方式所述的光刻用材料组合物包含本实施方式所述的光刻用材料和溶剂。该光刻用材料组合物的灵敏度高且分辨率高,具有高平坦性,因此,能够赋予良好的抗蚀图案形状。例如,可以由光刻用材料组合物形成抗蚀膜。
<光刻用材料组合物的物性等>
本实施方式的光刻用材料可以如上所述地用于抗蚀用途,可通过旋涂等公知方法而形成非晶膜。另外,根据所用显影液的种类,可分别制成正型抗蚀图案和负型抗蚀图案中的任意者。以下,针对将包含本实施方式的光刻用材料的光刻用材料组合物用于抗蚀用途(作为抗蚀组合物)的情况进行说明。
本实施方式中的光刻用材料组合物为正型抗蚀图案时,旋涂本实施方式的光刻用材料组合物而形成的非晶膜在23℃下的显影液中的溶解速度优选为
Figure BDA0003937760850000501
以下,更优选为
Figure BDA0003937760850000502
进一步优选为
Figure BDA0003937760850000503
若该溶解速度为
Figure BDA0003937760850000504
以下,则能够制成不溶于显影液的抗蚀剂。另外,若具有
Figure BDA0003937760850000505
以上的溶解速度,则清晰度有时也会提高。推测这是因为:由于本实施方式所述的化合物在曝光前后的溶解性变化,导致溶解于显影液的曝光部与不溶于显影液的未曝光部的界面的对比度变大。另外,存在降低线边缘粗糙度、降低缺陷的效果。
本实施方式中的光刻用材料组合物在负型抗蚀图案的情况下,旋涂本实施方式的光刻用材料组合物而形成的非晶膜在23℃下的显影液中的溶解速度优选为
Figure BDA0003937760850000506
以上。若该溶解速度为
Figure BDA0003937760850000507
以上,则易溶于显影液,更适合于抗蚀剂。另外,若具有
Figure BDA0003937760850000508
以上的溶解速度,则清晰度有时也会提高。推测这是因为:本实施方式所述的化合物的微观的表面部位发生溶解,降低线边缘粗糙度。另外,存在降低缺陷的效果。前述溶解速度可通过在23℃下使非晶膜在显影液中浸渍规定时间,并利用目视、椭偏仪或QCM法等公知方法测定该浸渍前后的膜厚来决定。
本实施方式的光刻用材料组合物在正型抗蚀图案的情况下,旋涂本实施方式的光刻用材料组合物而形成的非晶膜的利用KrF准分子激光、极紫外线、电子射线或X射线等辐射线进行了曝光的部分在23℃下的显影液中的溶解速度优选为
Figure BDA00039377608500005010
以上。若该溶解速度为
Figure BDA0003937760850000509
以上,则易溶于显影液,更适合于抗蚀剂。另外,若具有
Figure BDA00039377608500005011
以上的溶解速度,则清晰度有时也会提高。推测这是因为:本实施方式所述的化合物的微观的表面部位发生溶解,降低线边缘粗糙度。另外,存在降低缺陷的效果。
本实施方式的光刻用材料组合物在负型抗蚀图案的情况下,旋涂本实施方式的光刻用材料组合物而形成的非晶膜的利用KrF准分子激光、极紫外线、电子射线或X射线等辐射线进行了曝光的部分在23℃下的显影液中的溶解速度优选为
Figure BDA0003937760850000511
以下,更优选为
Figure BDA0003937760850000512
进一步优选为
Figure BDA0003937760850000513
若该溶解速度为
Figure BDA0003937760850000514
以下,则能够制成不溶于显影液的抗蚀剂。另外,若具有
Figure BDA0003937760850000515
以上的溶解速度,则清晰度有时也会提高。推测这是因为:由于本实施方式所述的化合物在曝光前后的溶解性变化,导致溶解于显影液的未曝光部与不溶于显影液的曝光部的界面的对比度变大。另外,存在降低线边缘粗糙度、降低缺陷的效果。
<光刻用材料组合物的其它成分>
本实施方式的光刻用材料组合物中,含有本实施方式所述的化合物作为固体成分。本实施方式的光刻用材料组合物中,除了含有本实施方式所述的化合物之外,还含有溶剂。
本实施方式的光刻用材料组合物中使用的溶剂没有特别限定,可列举出例如乙二醇单甲基醚乙酸酯、乙二醇单乙基醚乙酸酯、乙二醇单正丙基醚乙酸酯、乙二醇单正丁基醚乙酸酯等乙二醇单烷基醚乙酸酯类;乙二醇单甲基醚、乙二醇单乙基醚等乙二醇单烷基醚类;丙二醇单甲基醚乙酸酯、丙二醇单乙基醚乙酸酯(PGMEA)、丙二醇单正丙基醚乙酸酯、丙二醇单正丁基醚乙酸酯等丙二醇单烷基醚乙酸酯类;丙二醇单甲基醚(PGME)、丙二醇单乙基醚等丙二醇单烷基醚类;乳酸甲酯、乳酸乙酯、乳酸正丙酯、乳酸正丁酯、乳酸正戊酯等乳酸酯类;乙酸甲酯、乙酸乙酯、乙酸正丙酯、乙酸正丁酯、乙酸正戊酯、乙酸正己酯、丙酸甲酯、丙酸乙酯等脂肪族羧酸酯类;3-甲氧基丙酸甲酯、3-甲氧基丙酸乙酯、3-乙氧基丙酸甲酯、3-乙氧基丙酸乙酯、3-甲氧基-2-甲基丙酸甲酯、3-甲氧基丁基乙酸酯、3-甲基-3-甲氧基丁基乙酸酯、3-甲氧基-3-甲基丙酸丁酯、3-甲氧基-3-甲基丁酸丁酯、乙酰乙酸甲酯、丙酮酸甲酯、丙酮酸乙酯等其它酯类;甲苯、二甲苯等芳香族烃类;甲乙酮、2-庚酮、3-庚酮、4-庚酮、环戊酮(CPN)、环己酮(CHN)等酮类;N,N-二甲基甲酰胺、N-甲基乙酰胺、N,N-二甲基乙酰胺、N-甲基吡咯烷酮等酰胺类;γ-内酯等内酯类等。这些溶剂可以单独使用或组合使用两种以上。
本实施方式的光刻用材料组合物中使用的溶剂优选为安全溶剂,更优选为选自PGMEA、PGME、CHN、CPN、2-庚酮、茴香醚、乙酸丁酯、丙酸乙酯和乳酸乙酯中的至少一种,进一步优选为选自PGMEA、PGME和CHN中的至少一种。
本实施方式的光刻用材料组合物中,固体成分量与溶剂量的关系没有特别限定,相对于固体成分与溶剂的总质量100质量%,优选固体成分为1~80质量%且溶剂为20~99质量%,更优选固体成分为1~50质量%且溶剂为50~99质量%,进一步优选固体成分为2~40质量%且溶剂为60~98质量%,特别优选固体成分为2~10质量%且溶剂为90~98质量%。
本实施方式的光刻用材料组合物中,作为其它固体成分,可以含有选自由产酸剂(C)、酸交联剂(G)、酸扩散控制剂(E)和其它成分(F)组成的组中的至少一种。
本实施方式的光刻用材料组合物中,本实施方式所述的化合物的含量没有特别限定,优选为固体成分的总质量(本实施方式所述的化合物、产酸剂(C)、酸交联剂(G)、酸扩散控制剂(E)和其它成分(F)等任选使用的固体成分的总和,以下同样)的50~99.4质量%,更优选为55~90质量%,进一步优选为60~80质量%,特别优选为60~70质量%。在前述含量的情况下,分辨率进一步提高,线边缘粗糙度(LER)进一步变小。
<产酸剂(C)>
本实施方式的光刻用材料组合物优选含有一种以上的通过照射选自可见光线、紫外线、准分子激光、电子射线、极紫外线(EUV)、X射线和离子束中的任意辐射线而直接或间接产生酸的产酸剂(C)。
该情况下,本实施方式的光刻用材料组合物中,产酸剂(C)的含量优选为固体成分的总质量的0.001~49质量%,更优选为1~40质量%,进一步优选为3~30质量%,特别优选为10~25质量%。通过在前述含量范围内使用产酸剂(C),从而得到灵敏度更高且边缘粗糙度更低的图案轮廓。
本实施方式的光刻用材料组合物中,只要在体系内产生酸,酸的产生方法就没有限定。如果使用准分子激光来代替g射线、i射线等紫外线,则更能够进行微细加工,另外,如果使用电子射线、极紫外线、X射线、离子束来作为高能量射线,则更能够进行微细加工。
前述产酸剂(C)没有特别限定,可列举出例如国际公开第2017/033943号中公开的化合物。作为产酸剂(C),优选为具有芳香环的产酸剂,更优选为包含具有芳基的磺酸离子的产酸剂,特别优选为二苯基三甲基苯基锍对甲苯磺酸盐、三苯基锍对甲苯磺酸盐、三苯基锍三氟甲磺酸盐、三苯基锍九氟甲磺酸盐。通过使用该产酸剂,从而能够降低线边缘粗糙度。
另外,本实施方式的光刻用材料组合物中,作为产酸剂,优选还含有重氮萘醌光活性化合物。重氮萘醌光活性化合物是包含聚合物性和非聚合物性重氮萘醌光活性化合物的重氮萘醌物质,只要是通常在正型抗蚀组合物中用作感光性成分就没有特别限定,可以任选使用1种或2种以上。这些之中,从低粗糙度和溶解性的观点出发,优选为非聚合物性重氮萘醌光活性化合物,更优选分子量为1500以下的低分子化合物,进一步优选分子量为1200以下,特别优选分子量为1000以下。作为这种非聚合物性重氮萘醌光活性化合物的优选具体例,可列举出国际公开第2016/158881号中公开的非聚合物性重氮萘醌光活性化合物。前述产酸剂(C)可以单独使用或组合使用两种以上。
<酸交联剂(G)>
本实施方式的光刻用材料组合物在用作负型抗蚀材料的情况、在正型抗蚀材料中也用作用于增加图案强度的添加剂的情况下,优选包含一种以上的酸交联剂(G)。酸交联剂(G)是指:在由产酸剂(C)产生的酸的存在下,能够使本实施方式所述的化合物发生分子内交联或分子间交联的化合物。这种酸交联剂(G)没有特别限定,可列举出例如具有能够使本实施方式所述的化合物发生交联的1种以上交联性基团的化合物。
作为这种交联性基团的具体例,没有特别限定,可列举出例如(i)羟基(碳原子数1~6的烷基)、碳原子数1~6的烷氧基(碳原子数1~6的烷基)、乙酰氧基(碳原子数1~6的烷基)等羟基烷基或者由它们衍生的基团;(ii)甲酰基、羧基(碳原子数1~6的烷基)等羰基或者由它们衍生的基团;(iii)二甲基氨基甲基、二乙基氨基甲基、二羟甲基氨基甲基、二羟乙基氨基甲基、吗啉基甲基等含有含氮基团的基团;(iv)缩水甘油醚基、缩水甘油酯基、缩水甘油基氨基等含有缩水甘油基的基团;(v)苄氧基甲基、苯甲酰氧基甲基等由碳原子数1~6的烯丙氧基(碳原子数1~6的烷基)、碳原子数1~6的芳烷氧基(碳原子数1~6的烷基)等芳香族基团衍生的基团;(vi)乙烯基、异丙烯基等含有聚合性重键的基团等。作为酸交联剂(G)的交联性基团,优选为羟基烷基和烷氧基烷基等,特别优选为烷氧基甲基。
作为具有前述交联性基团的酸交联剂(G),没有特别限定,可列举出例如(i)含有羟甲基的三聚氰胺化合物、含有羟甲基的苯并胍胺化合物、含有羟甲基的脲化合物、含有羟甲基的甘脲化合物、含有羟甲基的酚化合物等含有羟甲基的化合物;(ii)含有烷氧基烷基的三聚氰胺化合物、含有烷氧基烷基的苯并胍胺化合物、含有烷氧基烷基的脲化合物、含有烷氧基烷基的甘脲化合物、含有烷氧基烷基的酚化合物等含有烷氧基烷基的化合物;(iii)含有羧基甲基的三聚氰胺化合物、含有羧基甲基的苯并胍胺化合物、含有羧基甲基的脲化合物、含有羧基甲基的甘脲化合物、含有羧基甲基的酚化合物等含有羧基甲基的化合物;(iv)双酚A系环氧化合物、双酚F系环氧化合物、双酚S系环氧化合物、酚醛清漆树脂系环氧化合物、甲阶酚醛树脂系环氧化合物、聚(羟基苯乙烯)系环氧化合物等环氧化合物等。
作为酸交联剂(G),可以进一步使用向具有酚性羟基的化合物、及碱可溶性树脂中的酸性官能团中导入前述交联性基团而赋予交联性的化合物和树脂。此时的交联性基团的导入率没有特别限定,相对于具有酚性羟基的化合物、及碱可溶性树脂中的全部酸性官能团,调节至例如为5~100摩尔%、优选为10~60摩尔%、进一步优选为15~40摩尔%。若为前述范围,则会充分发生交联反应,避免残膜率的降低、图案的溶胀现象、蛇行等,故而优选。
本实施方式的光刻用材料组合物中,酸交联剂(G)优选为烷氧基烷基化脲化合物或其树脂;或者烷氧基烷基化甘脲化合物或其树脂(酸交联剂(G1));在分子内具有1~6个苯环、在分子内整体具有2个以上的羟基烷基或烷氧基烷基、且该羟基烷基或烷氧基烷基键合于前述任意苯环而得到的酚衍生物(酸交联剂(G2));具有至少一个α-羟基异丙基的化合物(酸交联剂(G3))。可列举出例如国际公开第2017/033943号中公开的化合物。
本实施方式的光刻用材料组合物中,酸交联剂(G)的含量优选为固体成分的总质量的0.5~49质量%,更优选为0.5~40质量%,进一步优选为1~30质量%,特别优选为2~20质量%。若将前述酸交联剂(G)的含有比例设为0.5质量%以上,则能够提高抑制抗蚀膜在碱显影液中的溶解性的效果,能够抑制残膜率降低或图案溶胀、蛇行的发生,故而优选,另一方面,若设为49质量%以下,则能够抑制作为抗蚀剂的耐热性降低,故而优选。
另外,前述酸交联剂(G)中的选自前述酸交联剂(G1)、前述酸交联剂(G2)、前述酸交联剂(G3)中的至少1种化合物的含量也没有特别限定,可以根据在形成抗蚀图案时使用的基板种类等而设为各种范围。
<酸扩散控制剂(E)>
本实施方式的光刻用材料组合物可以含有酸扩散控制剂(E),所述酸扩散控制剂(E)具有控制通过辐射线照射而由产酸剂产生的酸在抗蚀膜中的扩散、阻止未曝光区域中的不优选化学反应的作用等。通过使用这样的酸扩散控制剂(E),从而光刻用材料组合物的贮藏稳定性提高。另外,在分辨率进一步提高的同时,能够抑制由辐射线照射前的曝光后延迟显影时间、辐射线照射后的曝光后延迟显影时间的变动导致的抗蚀图案的线宽变化,工艺稳定性极其优异。
这种酸扩散控制剂(E)没有特别限定,可列举出例如含有氮原子的碱性化合物、碱性锍化合物、碱性碘鎓化合物等辐射线分解性碱性化合物。作为酸扩散控制剂(E),可列举出例如国际公开第2017/033943号中公开的化合物。酸扩散控制剂(E)可以单独使用或组合使用两种以上。
酸扩散控制剂(E)的含量优选为固体成分的总质量的0.001~49质量%,更优选为0.01~10质量%,进一步优选为0.01~5质量%,特别优选为0.01~3质量%。若酸扩散控制剂(E)的含量在前述范围内,则能够进一步抑制分辨率的降低、图案形状、尺寸忠实度等的劣化。进而,即便从照射电子射线起至照射辐射线后的加热为止的曝光后延迟显影时间变长,图案上层部的形状也不会劣化。另外,若酸扩散控制剂(E)的含量为10质量%以下,则能够防止灵敏度、未曝光部的显影性等的降低。另外,通过使用这种酸扩散控制剂,从而光刻用材料组合物的贮藏稳定性提高,且分辨率提高,同时能够抑制由辐射线照射前的曝光后延迟显影时间、辐射线照射后的曝光后延迟显影时间的变动导致的抗蚀图案的线宽变化,工艺稳定性极其优异。
(其它成分(F))
本实施方式的光刻用材料组合物中,在不阻碍本实施方式的目的的范围内,根据需要,作为其它成分(F),可以添加1种或2种以上的溶解促进剂、溶解控制剂、敏化剂、表面活性剂、以及有机羧酸或磷的含氧酸或其衍生物等各种添加剂。作为其它成分(F),可列举出例如国际公开第2017/033943号中公开的化合物。
其它成分(F)的总含量优选为固体成分的总质量的0~49质量%,更优选为0~5质量%,进一步优选为0~1质量%,特别优选为0质量%。
本实施方式的光刻用材料组合物中,本实施方式所述的化合物、产酸剂(C)、酸扩散控制剂(E)、其它成分(F)的含量(本实施方式所述的化合物/产酸剂(C)/酸扩散控制剂(E)/其它成分(F))以固态物基准的质量%计优选为50~99.4/0.001~49/0.001~49/0~49、更优选为55~90/1~40/0.01~10/0~5、进一步优选为60~80/3~30/0.01~5/0~1、特别优选为60~70/10~25/0.01~3/0。
各成分的含有比例以其总和成为100质量%的方式从各范围内进行选择。若设为前述含有比例,则灵敏度、分辨率、显影性等性能更优异。
本实施方式的光刻用材料组合物的制备方法没有特别限定,可列举出例如在使用时将各成分溶解于溶剂而制成均匀溶液,其后,根据需要利用例如孔径为0.2μm左右的过滤器等进行过滤的方法等。
本实施方式的光刻用材料组合物中,可以在不阻碍本发明目的的范围内包含树脂。树脂没有特别限定,可列举出例如酚醛清漆树脂、聚乙烯基苯酚类、聚丙烯酸、聚乙烯醇、苯乙烯-马来酸酐树脂;以及包含丙烯酸、乙烯醇或乙烯基苯酚作为单体单元的聚合物或它们的衍生物等。该树脂的含量没有特别限定,根据所使用的本实施方式所述的化合物的种类来适当调节,相对于该化合物100质量份,优选为30质量份以下,更优选为10质量份以下,进一步优选为5质量份以下,特别优选为0质量份。
[图案形成方法]
使用光刻用材料在基板上形成图案时,可以使用例如以下的图案形成方法,其包括:使用本实施方式所述的光刻用材料、包含其的组合物(以下有时将它们统称为“光刻用材料等”),在基板上形成膜的膜形成工序;对前述膜进行曝光的曝光工序;以及,对在前述曝光工序中进行了曝光的膜进行显影,形成图案的显影工序。
例如,使用本实施方式的光刻用材料等而形成抗蚀图案时,图案(抗蚀图案)的形成方法没有特别限定,作为适合的方法,可列举出包括如下工序的方法:将包含上述光刻用材料等的抗蚀组合物涂布在基板上而形成膜(抗蚀膜)的膜形成工序;对所形成的膜(抗蚀膜)进行曝光的曝光工序;对在前述曝光工序中进行了曝光的膜(抗蚀膜)进行显影而形成图案(抗蚀图案)的显影工序。本实施方式的抗蚀图案也可以作为多层工艺中的上层抗蚀剂来形成。
作为具体的形成抗蚀图案的方法,没有特别限定,可列举出例如以下的方法。首先,通过旋转涂布、流延涂布、辊涂等涂布手段,在现有公知的基板上涂布前述抗蚀组合物,由此形成抗蚀膜。现有公知的基板没有特别限定,可例示出例如电子部件用基板、对其形成规定的布线图案而得到的基板等。更具体而言,没有特别限定,可列举出例如硅晶圆、铜、铬、铁、铝等金属制的基板;玻璃基板等。作为布线图案的材料,没有特别限定,可列举出例如铜、铝、镍、金等。另外,根据需要,可以是在前述基板上设置无机系的膜或有机系的膜而得到的基板。作为无机系的膜,没有特别限定,可列举出例如无机防反射膜(无机BARC)。作为有机系的膜,没有特别限定,可列举出例如有机防反射膜(有机BARC)。可以利用六亚甲基二硅氮烷等来进行表面处理。
接着,根据需要,将经涂布的基板进行加热。加热条件因抗蚀组合物的含有组成等而异,优选为20~250℃,更优选为20~150℃。通过进行加热,抗蚀剂相对于基板的密合性有时会提高,故而优选。接着,利用选自由可见光线、紫外线、准分子激光、电子射线、极紫外线(EUV)、X射线和离子束组成的组中的任意辐射线,将抗蚀膜曝光成期望的图案。曝光条件等根据抗蚀组合物的配混组成等来适当选择。
本实施方式的抗蚀图案的形成方法中,为了稳定地形成曝光中的高精度的微细图案,优选在照射辐射线后进行加热。加热条件因抗蚀组合物的配混组成等而异,优选为20~250℃,更优选为20~150℃。
接着,通过用显影液对经曝光的抗蚀膜进行显影,从而形成规定的抗蚀图案。作为前述显影液,优选选择与所使用的本实施方式所述的化合物相比溶解度参数(SP值)相近的溶剂,可以使用酮系溶剂、酯系溶剂、醇系溶剂、酰胺系溶剂、醚系溶剂等极性溶剂、烃系溶剂或碱水溶液。根据显影液的种类,能够分别制成正型抗蚀图案或负型抗蚀图案,一般来说,在酮系溶剂、酯系溶剂、醇系溶剂、酰胺系溶剂、醚系溶剂等极性溶剂、烃系溶剂的情况下能够得到负型抗蚀图案,在碱水溶液的情况下能够得到正型抗蚀图案。作为酮系溶剂、酯系溶剂、醇系溶剂、酰胺系溶剂、醚系溶剂、烃系溶剂、碱性水溶液,可列举出例如国际公开第2017/033943号中公开的溶剂。
前述溶剂可以混合多种,也可以在具有性能的范围内与除前述之外的溶剂、水混合使用。其中,为了充分表现出本发明的效果,以显影液整体计的含水率小于70质量%,进而,优选小于50质量%、更优选小于30质量%、进一步优选小于10质量%、特别优选实质上不含水分。即,有机溶剂相对于显影液的含量没有特别限定,相对于显影液的总量,为30质量%以上且100质量%以下,进而,优选为50质量%以上且100质量%以下、更优选为70质量%以上且100质量%以下、进一步优选为90质量%以上且100质量%以下、特别优选为95质量%以上且100质量%以下。
尤其是,显影液为含有选自酮系溶剂、酯系溶剂、醇系溶剂、酰胺系溶剂和醚系溶剂中的至少1种溶剂的显影液时,会改善抗蚀图案的清晰度、粗糙度等抗蚀性能,故而优选。
显影液的蒸气压没有特别限定,例如,在20℃下优选为5kPa以下,进一步优选为3kPa以下,特别优选为2kPa以下。通过将显影液的蒸气压设为5kPa以下,从而抑制显影液在基板上或显影杯内的蒸发,晶圆面内的温度均匀性提高,其结果,晶圆面内的尺寸均匀性优化。作为具有这种蒸气压的显影液,可列举出例如国际公开第2017/033943号中公开的显影液。
根据需要,可以向显影液中添加适量的表面活性剂。作为表面活性剂,没有特别限定,可以使用例如离子性、非离子性的氟系或硅系表面活性剂等。作为这些氟或硅系表面活性剂,可列举出例如日本特开昭62-36663号公报、日本特开昭61-226746号公报、日本特开昭61-226745号公报、日本特开昭62-170950号公报、日本特开昭63-34540号公报、日本特开平7-230165号公报、日本特开平8-62834号公报、日本特开平9-54432号公报、日本特开平9-5988号公报、美国专利第5405720号说明书、美国专利5360692号说明书、美国专利5529881号说明书、美国专利5296330号说明书、美国专利5436098号说明书、美国专利5576143号说明书、美国专利5294511号说明书、美国专利5824451号说明书中记载的表面活性剂,优选为非离子性的表面活性剂。作为非离子性的表面活性剂,没有特别限定,进一步优选使用氟系表面活性剂或硅系表面活性剂。
表面活性剂的用量相对于显影液的总量通常为0.001~5质量%、优选为0.005~2质量%、进一步优选为0.01~0.5质量%。
作为显影方法,可以应用例如以下方法:将基板在装满显影液的槽中浸渍一定时间的方法(浸渍法);通过利用表面张力在基板表面盛装显影液并静置一定时间,从而进行显影的方法(桨法);对基板表面喷雾显影液的方法(喷雾法);在以固定速度进行旋转的基板上,边以固定速度扫描(scan)显影液涂布喷嘴边持续涂布显影液的方法(动态分配法)等。进行图案显影的时间没有特别限定,优选为10秒钟~90秒钟。
另外,在进行显影的工序之后,可以实施边置换成其它溶剂边停止显影的工序。
在显影后,优选包括使用包含有机溶剂的润洗(rinse)液进行清洗的工序。
作为在显影后的润洗工序中使用的润洗液,只要将通过交联而固化的抗蚀图案溶解,就没有特别限定,可以使用包含一般的有机溶剂的溶液或水。作为前述润洗液,优选使用含有选自烃系溶剂、酮系溶剂、酯系溶剂、醇系溶剂、酰胺系溶剂和醚系溶剂中的至少1种有机溶剂的润洗液。更优选在显影后进行如下工序:使用含有选自由酮系溶剂、酯系溶剂、醇系溶剂、酰胺系溶剂组成的组中的至少1种有机溶剂的润洗液来进行清洗。进一步优选在显影后进行如下工序:使用含有醇系溶剂或酯系溶剂的润洗液来进行清洗。更进一步优选在显影后进行如下工序:使用含有一元醇的润洗液来进行清洗。特别优选在显影后进行如下工序:使用含有碳原子数为5以上的一元醇的润洗液来进行清洗。进行图案润洗的时间没有特别限定,优选为10秒钟~90秒钟。
此处,作为在显影后的润洗工序中使用的一元醇,没有特别限定,可列举出例如直链状、支链状、环状的一元醇,具体而言,可以使用1-丁醇、2-丁醇、3-甲基-1-丁醇、叔丁醇、1-戊醇、2-戊醇、1-己醇、4-甲基-2-戊醇、1-庚醇、1-辛醇、2-己醇、环戊醇、2-庚醇、2-辛醇、3-己醇、3-庚醇、3-辛醇、4-辛醇等,作为特别优选的碳原子数为5以上的一元醇,可以使用1-己醇、2-己醇、4-甲基-2-戊醇、1-戊醇、3-甲基-1-丁醇等。
前述各成分可以混合多种,也可以与除前述之外的有机溶剂混合使用。
润洗液中的含水率没有特别限定,优选为10质量%以下,更优选为5质量%以下,特别优选为3质量%以下。通过将含水率设为10质量%以下,从而能够得到更良好的显影特性。
在显影后使用的润洗液的蒸气压在20℃下优选为0.05kPa以上且5kPa以下,更优选为0.1kPa以上且5kPa以下,进一步优选为0.12kPa以上且3kPa以下。通过将润洗液的蒸气压设为0.05kPa以上且5kPa以下,从而晶圆面内的温度均匀性进一步提高,进而,进一步抑制由润洗液的浸透引起的溶胀,晶圆面内的尺寸均匀性进一步优化。
也可以向润洗液中添加适量的表面活性剂来使用。
在润洗工序中,使用包含前述有机溶剂的润洗液,对进行了显影的晶片进行清洗处理。清洗处理的方法没有特别限定,可以应用例如以下方法:在以固定速度进行旋转的基板上持续涂布润洗液的方法(旋转涂布法);将基板在装有润洗液的槽中浸渍一定时间的方法(浸渍法);对基板表面喷雾润洗液的方法(喷雾法)等,其中,优选利用旋转涂布方法进行清洗处理,在清洗后使基板以2000rpm~4000rpm的转速发生旋转,从基板上去除润洗液。
在形成抗蚀图案后,通过蚀刻而得到图案布线基板。关于蚀刻方法,可以通过使用等离子体气体的干式蚀刻和基于碱溶液、氯化铜溶液、氯化铁溶液等的湿蚀刻等公知方法来进行。
在形成抗蚀图案后,也可以进行镀敷。作为前述镀敷方法,没有特别限定,有例如镀铜、焊料镀敷、镀镍、镀金等。
蚀刻后的残留抗蚀图案可利用有机溶剂进行剥离。作为前述有机溶剂,没有特别限定,可列举出例如PGMEA(丙二醇单甲基醚乙酸酯)、PGME(丙二醇单甲基醚)、EL(乳酸乙酯)等。作为前述剥离方法,没有特别限定,可列举出例如浸渍方法、喷雾方式等。另外,形成有抗蚀图案的布线基板可以为多层布线基板,也可以具有小直径的通孔。
本实施方式中,布线基板也可以在抗蚀图案形成后通过在真空中蒸镀金属,其后用溶液将抗蚀图案溶解的方法、即剥离法来形成。
[产酸剂]
本实施方式所述的产酸剂包含本实施方式所述的化合物。前述化合物包含具有末端特定结构的离子部位,因此,在用作抗蚀材料等中的产酸剂时,显示出高灵敏度、高分辨率和高平坦性。本实施方式所述的化合物的分子扩散速度是适度的,因此,在维持高灵敏度的同时显示出高分辨率。另外,因具有适度的分子量而不易挥发,固化时的膜减量较少,因此,显示出高平坦性。本实施方式所述的产酸剂通过热、辐射线的作用而产生酸。作为辐射线,可列举出g射线、i射线、KrF准分子激光、ArF准分子激光、极紫外光(EUV)或电子射线等。需要说明的是,本实施方式所述的产酸剂可以包含除本实施方式所述的化合物之外的其它产酸剂。
[第二组合物]
本实施方式所述的第二组合物包含本实施方式所述的产酸剂。本实施方式所述的第二组合物可以为例如光刻用下层膜形成用组合物、光学物品形成用组合物等,但不限定于它们。
(光刻用下层膜形成用组合物、光刻用下层膜和图案形成方法)
〔第一实施方式〕
<光刻用下层膜形成用组合物>
本发明的第一实施方式所述的光刻用下层膜形成用组合物为含有本实施方式所述的产酸剂和含硅化合物(例如水解性有机硅烷、其水解物或其水解缩合物)的光刻用下层膜形成用组合物。本实施方式的光刻用下层膜形成用组合物能够形成抗蚀剂下层膜等光刻用下层膜,耐热性高,溶剂溶解性也高。因此,图案的矩形性优异。另外,能够降低膜的缺陷(形成薄膜),密合性高,保存稳定性良好,灵敏度高且具有长期耐光性,并且,能够赋予良好的抗蚀图案形状。另外,本实施方式的光刻用下层膜形成用组合物能够形成平坦性高的光刻用下层膜。
本实施方式的光刻用下层膜形成用组合物可适宜地用于例如在上层抗蚀剂(光致抗蚀剂等)与硬掩模、有机下层膜等之间进一步具备抗蚀剂下层膜的多层抗蚀剂法。在这种多层抗蚀剂法中,例如,隔着基板上的有机下层膜或硬掩模,通过涂布法等在其上形成抗蚀剂下层膜,在该抗蚀剂下层膜上形成上层抗蚀剂(例如光致抗蚀剂、电子射线抗蚀剂、EUV抗蚀剂)。然后,通过曝光和显影而形成抗蚀图案,使用该抗蚀图案对抗蚀剂下层膜进行干式蚀刻,进行图案的转印,对有机下层膜进行蚀刻,由此转印图案,利用该有机下层膜进行基板的加工。
即,使用本实施方式的光刻用下层膜形成用组合物而形成的光刻用下层膜(抗蚀剂下层膜)不易与上层抗蚀剂发生混合,且具有耐热性,对于例如卤素系(氟系)蚀刻气体而言的蚀刻速度大于用作掩模的经图案化的上层抗蚀剂,因此,能够得到矩形的良好图案。进而,使用本实施方式的光刻用下层膜形成用组合物而形成的光刻用下层膜(抗蚀剂下层膜)对氧系蚀刻气的耐性高,因此,能够在硬掩模等设置在基材上的层的图案化时作为良好的掩模而发挥功能。另外,本实施方式的光刻用下层膜形成用组合物也可以用于层叠有多个抗蚀剂下层膜的方式。该情况下,使用本实施方式的光刻用下层膜形成用组合物而形成的抗蚀剂下层膜的位置(层叠至第几层)没有特别限定,可以是上层抗蚀剂的正下方,也可以是最靠近基板侧的层,还可以是被抗蚀剂下层膜夹持的方式。
对于形成微细图案而言,为了防止图案倾塌而存在抗蚀膜厚变薄的倾向。由于抗蚀剂的薄膜化,用于对存在于其下层的膜转印图案的干式蚀刻如果蚀刻速度不高于上层的膜,就无法进行图案转印。本实施方式中,可以在基板上隔着有机下层膜、在其上用本实施方式的抗蚀剂下层膜(含有硅系化合物)进行覆盖,进而在其上用抗蚀膜(有机抗蚀膜)进行覆盖。有机系成分的膜与无机系成分的膜的干式蚀刻速度因蚀刻气体的选择而明显不同,有机系成分的膜利用氧系气体进行干式蚀刻的速度变大,无机系成分的膜利用含有卤素的气体进行干式蚀刻的速度变大。
例如,可以使用经图案转印的抗蚀剂下层膜,利用氧系气体对其下层的有机下层膜进行干式蚀刻,对有机下层膜进行图案转印,利用该经图案转印的有机下层膜,使用含有卤素的气体进行基板加工。使用本实施方式的光刻用下层膜形成用组合物而形成的光刻用下层膜(抗蚀剂下层膜)的密合性也良好,因此,还能够抑制转印图案的倾塌。
另外,基于本实施方式的光刻用下层膜形成用组合物的抗蚀剂下层膜通过包含对活性光线的吸收能力优异的本实施方式所述的产酸剂和含硅化合物(例如水解性有机硅烷、其水解物或其水解缩合物),从而上层抗蚀剂的灵敏度提高,不会与上层抗蚀剂发生混合,曝光和显影后的抗蚀剂下膜形成膜的图案形状变为矩形。由此,能够进行基于微细图案的基板加工。
另外,基于本实施方式的光刻用下层膜形成用组合物的抗蚀剂下层膜具有高耐热性,因此,即便是高温烘烤条件下也可以使用。进而,由于分子量较低且粘度较低,因此,即便是具有高低差的基板(尤其是微细的空间、空孔图案等),也容易均匀地填充至各个角落,其结果,存在平坦化性、填埋特性比较有利地提高的倾向。
前述光刻用下层膜形成用组合物中,除了包含本实施方式所述的产酸剂和含硅化合物之外,可以进一步包含溶剂、酸、酸交联剂等。进而,作为任选成分,可以包含有机聚合物化合物和表面活性剂、以及水、醇和固化催化剂等。
-溶剂-
作为本实施方式中使用的溶剂,只要至少本实施方式所述的产酸剂溶解,就可以适当使用公知的溶剂。可列举出例如国际公开第2017/188450号中公开的光刻用下层膜形成用组合物中可包含的溶剂。
溶剂的含量没有特别限定,从溶解性和制膜方面的观点出发,相对于前述光刻用下层膜形成用组合物的全部固体成分100质量份,优选为100~10,000质量份、更优选为200~8,000质量份、进一步优选为200~5,000质量份。
-酸-
从促进固化性的观点出发,前述光刻用下层膜形成用组合物可以包含酸。作为前述酸,可列举出例如氢氟酸、盐酸、氢溴酸、硫酸、硝酸、高氯酸、磷酸、甲磺酸、苯磺酸、甲苯砜等。
酸的含量没有特别限定,从溶解性、涂膜的形状稳定性的观点出发,相对于前述光刻用下层膜形成用组合物的全部固体成分100质量份,优选为0.001~20质量份、更优选为0.005~10质量份、进一步优选为0.01~5质量份。
-酸交联剂-
前述光刻用下层膜形成用组合物在用作负型抗蚀材料的情况、在正型抗蚀材料中也用作用于增加图案强度的添加剂的情况下,可以包含一种以上的酸交联剂。作为酸交联剂,可列举出具有在酸的存在下能够形成交联的1种以上基团(以下称为“交联性基团”)的化合物。可列举出例如国际公开第2017/188450号中公开的光刻用下层膜形成用组合物中可包含的酸交联剂。另外,作为前述酸交联剂的具体例,也可列举出例如国际公开WO2013/024779号中记载的物质。
酸交联剂的含量没有特别限定,从溶解性、涂膜的形状稳定性的观点出发,相对于前述光刻用下层膜形成用组合物的全部固体成分100质量份,优选为0.01~30质量份、更优选为0.05~20质量份、进一步优选为0.1~10质量份。
-含硅化合物-
前述光刻用下层膜形成用组合物一并包含本实施方式所述的产酸剂和含硅化合物。作为前述含硅化合物,可以为有机含硅化合物或无机含硅化合物中的任意者,优选为有机含硅化合物。作为前述无机含硅化合物,可列举出例如能够利用低温下的涂布方式进行成膜的硅氧化物、硅氮化物、硅氮氧化物形成的聚硅氮烷化合物等。另外,作为前述有机含硅化合物,可列举出例如聚倍半硅氧烷基础的化合物、水解性有机硅烷、其水解物或其水解缩合物。关于前述聚倍半硅氧烷基础的具体材料,不限定于以下,可以使用例如日本特开2007-226170号公报、日本特开2007-226204号公报中记载的材料。另外,作为前述水解性有机硅烷、其水解物或其水解缩合物,可以包含选自由下述式(D1)的水解性有机硅烷和下述式(D2)组成的组中的至少1种水解性有机硅烷、它们的水解物或它们的水解缩合物(以下有时将它们简称为“选自由式(D1)和式(D2)组成的组中的至少1种有机硅化合物”)。若前述光刻用下层膜形成用组合物包含选自由式(D1)和式(D2)组成的组中的至少1种有机硅化合物,则通过调整固化条件而容易控制Si-O键,在成本方面也有利,适合于导入有机系成分。因此,使用光刻用下层膜形成用组合物包含选自由式(D1)和式(D2)组成的组中的至少1种有机硅化合物的前述光刻用下层膜形成用组合物而形成的层作为抗蚀层的中间层(上层抗蚀层与设置在基材上的有机下层膜之间的层)是有用的。
式(D1):(R3)aSi(R4)4-a
(式(D1)中,R3表示具有烷基、芳基、芳烷基、卤代烷基、卤代芳基、卤代芳烷基、烯基、环氧基、丙烯酰基、甲基丙烯酰基、巯基、烷氧基芳基、酰氧基芳基、异氰脲酸酯基、羟基、环状氨基或氰基的“有机基团”;或者它们的组合,且借助Si-C键而与硅原子键合,R4表示烷氧基、酰氧基或卤素基,a表示0~3的整数。)
式(D2):[(R5)cSi(R6)4-c]2Yb
(式(D2)中,R5表示烷基;R6表示烷氧基、酰氧基或卤素基团;Y表示亚烷基或亚芳基;b表示0或1的整数;c表示0或1的整数。)
前述光刻用下层膜形成用组合物中,可以在本实施方式所述的产酸剂与含硅化合物(例如选自由式(D1)和式(D2)组成的组中的至少1种有机硅化合物)的比例以摩尔比计为0.1:99.9~50:50的范围内使用。为了获得良好的抗蚀形状,可以在例如以前述摩尔比计为1:99~30:70的范围内使用。选自由式(D1)和式(D2)组成的组中的至少1种有机硅化合物优选以水解缩合物(聚有机硅氧烷的聚合物)的形式使用。
式(D1)所示的水解性有机硅烷中的R3为具有烷基、芳基、芳烷基、卤代烷基、卤代芳基、卤代芳烷基、烯基、环氧基、丙烯酰基、甲基丙烯酰基、巯基、烷氧基芳基、酰氧基芳基、异氰脲酸酯基、羟基、环状氨基或氰基的“有机基团”、或者它们的组合,且借助Si-C键而与硅原子键合;R4表示烷氧基、酰氧基或卤素基团;a表示0~3的整数。
式(D2)的水解性有机硅烷的R5表示烷基,R6表示烷氧基、酰氧基或卤素基团,Y表示亚烷基或亚芳基,b表示0或1的整数,c表示0或1的整数。
作为式(D1)和式(D2)所示的水解性有机硅烷,可列举出例如国际公开第2017/188450号中公开的光刻用下层膜形成用组合物中可包含的水解性有机硅烷。
本实施方式中,可以使本实施方式所述的产酸剂与水解性有机硅烷等不发生反应而是以混合体的形式来形成膜,可以将选自无机酸、脂肪族磺酸和芳香族磺酸中的一种以上的化合物用作酸催化剂,使光刻用下层膜形成用组合物中的本实施方式所述的产酸剂与上述水解性有机硅烷等进行水解缩合。
此时使用的酸催化剂可列举出氢氟酸、盐酸、氢溴酸、硫酸、硝酸、高氯酸、磷酸、甲磺酸、苯磺酸、甲苯磺酸等。催化剂的用量相对于单体(本实施方式所述的产酸剂与水解性有机硅烷等的总量)1摩尔优选为10-6~10摩尔、更优选为10-5~5摩尔、进一步优选为10-4~1摩尔。
关于使这些单体进行水解缩合时的水量,相对于与单体(本实施方式所述的产酸剂和水解性有机硅烷等)键合的水解性取代基1摩尔,优选添加0.01~100摩尔、更优选添加0.05~50摩尔、进一步优选添加0.1~30摩尔。如果添加100摩尔以下,则反应中使用的装置不会变得过大,因此是节约的。
作为操作方法,例如,向催化剂水溶液中添加单体而开始水解缩合反应。此时,可以向催化剂水溶液中添加有机溶剂,也可以利用有机溶剂对单体进行稀释,还可以进行两者。反应温度优选为0~100℃、更优选为40~100℃。优选的是:在滴加单体时将温度保持至5~80℃,其后,以40~100℃进行熟化的方法。
作为能够向催化剂水溶液中添加或能够将单体稀释的有机溶剂,可列举出例如国际公开第2017/188450号中公开的有机溶剂。
另外,有机溶剂的用量相对于单体(本实施方式所述的产酸剂与水解性有机硅烷等的总量)1摩尔优选为0~1,000ml、特别优选为0~500ml。如果有机溶剂的用量为1,000ml以下,则反应容器不会变得过大,因此是节约的。
其后,根据需要,进行催化剂的中和反应,减压去除在水解缩合反应中生成的醇,得到反应混合物水溶液。此时,在中和中能够使用的碱性物质的量相对于催化剂中使用的酸优选为0.1~2当量。只要该碱性物质在水中显碱性,就可以是任意物质。
接着,优选从反应混合物中去除因水解缩合反应而生成的醇等副产物。此时,将反应混合物加热的温度取决于所添加的有机溶剂和因反应而产生的醇等的种类,优选为0~100℃、更优选为10~90℃、进一步优选为15~80℃。另外,此时的减压度因应该去除的有机溶剂和醇等的种类、排气装置、凝缩装置和加热温度而异,优选为大气压以下、更优选以绝对压力计为80kPa以下、进一步优选以绝对压力计为50kPa以下。难以准确地获知此时去除的醇量,但期望去除所生成的醇等的约80质量%以上。
接着,可以从反应混合物中去除水解缩合所使用的酸催化剂。作为去除酸催化剂的方法,可例示出将水与反应混合物混合并利用有机溶剂对产物进行提取的方法。作为此时使用的有机溶剂,优选为能够溶解产物、若与水混合则分离成两层的溶剂。可列举出例如国际公开第2017/188450号中公开的有机溶剂。
进而,在从反应混合物中去除水解缩合所使用的酸催化剂时,也可以使用水溶性有机溶剂与水难溶性有机溶剂的混合物。可列举出例如国际公开第2017/188450号中公开的混合物。
另外,水溶性有机溶剂与水难溶性有机溶剂的混合比例可适当选择,相对于水难溶性有机溶剂100质量份,水溶性有机溶剂优选为0.1~1,000质量份、更优选为1~500质量份、进一步优选为2~100质量份。
在残留有酸催化剂的产物和去除酸催化剂后的产物的任意情况下,均可通过添加最终溶剂、并在减压下进行溶剂更换而得到产物的溶液。此时的溶剂更换温度取决于应该去除的反应溶剂、提取溶剂的种类,优选为0~100℃、更优选为10~90℃、进一步优选为15~80℃。另外,此时的减压度因应该去除的提取溶剂的种类、排气装置、凝缩装置和加热温度而异,优选为大气压以下、更优选以绝对压力计为80kPa以下、进一步优选以绝对压力计为50kPa以下。
-其它任选成分-
前述光刻用下层膜形成用组合物中,除了包含前述成分之外,根据需要可以包含有机聚合物化合物、交联剂和表面活性剂等。
通过使用有机聚合物化合物,从而能够调整由前述光刻用下层膜形成用组合物形成的抗蚀剂下层膜的干式蚀刻速度(每单位时间的膜厚减少量)、衰减系数和折射率等。作为有机聚合物化合物,没有特别限定,可以使用各种有机聚合物。可以使用缩聚聚合物和加聚聚合物等。可以使用例如国际公开第2017/188450号中公开的有机聚合物化合物。
通过使用交联剂,从而能够调整由前述光刻用下层膜形成用组合物形成的抗蚀剂下层膜的干式蚀刻速度(每单位时间的膜厚减少量)等。作为交联剂,没有特别限定,可以使用各种交联剂。作为本实施方式中能够使用的交联剂的具体例,可列举出例如三聚氰胺化合物、胍胺化合物、甘脲化合物、脲化合物、环氧化合物、硫代环氧化合物、异氰酸酯化合物、叠氮化合物、包含烯基醚基等双键且具有选自羟甲基、烷氧基甲基、酰氧基甲基中的至少一种基团作为取代基(交联性基团)的化合物等,但不特别限定于它们。可列举出例如国际公开第2017/188450号中公开的交联剂。
前述光刻用下层膜形成用组合物中,交联剂的含量没有特别限定,相对于本实施方式所述的产酸剂100质量份,优选为1~10质量份、更优选为1~5质量份。通过设为上述优选范围,从而存在抑制与抗蚀层发生混合这一现象的倾向,另外,存在提高防反射效果、提高交联后的膜形成性的倾向。
表面活性剂对于在将前述光刻用下层膜形成用组合物涂布于基板时抑制表面缺陷等的产生而言是有效的。作为前述光刻用下层膜形成用组合物中包含的表面活性剂,可列举出例如国际公开第2017/188450号中公开的表面活性剂。在使用表面活性剂的情况下,作为其比例,相对于本实施方式所述的产酸剂100质量份,可以为例如0质量份~5质量份。
<光刻用下层膜和图案形成方法>
本发明的第一实施方式所述的光刻用下层膜可以使用前述本发明的第一实施方式所述的光刻用下层膜形成用组合物来形成。本实施方式的光刻用下层膜可适合用作在多层抗蚀剂法中使用的光致抗蚀剂(上层)的下层(抗蚀剂下层膜)。
本实施方式中,例如使用光刻用下层膜形成用组合物而形成抗蚀剂下层膜,在前述抗蚀剂下层膜上形成至少1层光致抗蚀层后,对该光致抗蚀层的规定区域照射辐射线,进行显影,由此能够形成图案。
另外,作为使用如上操作而制作的前述本发明的第一实施方式所述的光刻用下层膜形成用组合物而进行的本发明的第一实施方式所述的图案形成方法的一个方式,可列举出如下的图案形成方法:使用涂布型有机下层膜材料,在基板上形成有机下层膜,使用本发明的第一实施方式的光刻用下层膜形成用组合物,在前述有机下层膜上形成抗蚀剂下层膜,使用上层抗蚀膜组合物在前述抗蚀剂下层膜上形成上层抗蚀膜,在前述上层抗蚀膜形成上层抗蚀图案,将前述上层抗蚀图案作为掩模,通过蚀刻而对前述抗蚀剂下层膜转印图案,将转印有图案的前述抗蚀剂下层膜作为掩模,通过蚀刻而对前述有机下层膜转印图案,进而,将转印有图案的前述有机下层膜作为掩模,通过蚀刻而对前述基板(被加工物)转印图案。
作为本发明的第一实施方式所述的图案形成方法的另一方式,可列举出如下的图案形成方法:通过CVD法在基板上形成以碳为主成分的有机硬掩模,使用本发明的第一实施方式的光刻用下层膜形成用组合物在前述有机硬掩模上形成抗蚀剂下层膜,使用上层抗蚀膜组合物,在前述抗蚀剂下层膜上形成上层抗蚀膜,在前述上层抗蚀膜形成上层抗蚀图案,将该上层抗蚀图案作为掩模,通过蚀刻而对前述抗蚀剂下层膜转印图案,将转印有图案的前述抗蚀剂下层膜作为掩模,通过蚀刻而对前述有机硬掩模转印图案,进而,将转印有图案的前述有机硬掩模作为掩模,通过蚀刻而对前述基材(被加工物)转印图案。
作为前述基材,可以使用例如半导体基板。作为前述半导体基板,通常可以使用硅基板,但没有特别限定,可以使用Si、非晶硅(α-Si)、p-Si、SiO2、SiN、SiON、W、TiN、Al等与被加工层的材质不同的基板。
另外,作为构成前述基材(被加工物;包括前述半导体基板)的金属,可以使用硅、钛、钨、铪、锆、铬、锗、铜、铝、铟、镓、砷、钯、铁、钽、铱或钼中的任一者或者它们的合金。
另外,作为半导体基板上的被加工层(被加工部分),可以使用成膜有金属膜、金属碳化膜、金属氧化膜、金属氮化膜、金属氧化碳化膜或金属氮氧化膜中任意者的被加工层等。作为这种包含金属的被加工层,可以使用例如Si、SiO2、SiN、SiON、SiOC、p-Si、α-Si、TiN、WSi、BPSG、SOG、Cr、CrO、CrON、MoSi、W、W-Si、Al、Cu、Al-Si等、以及各种低介电膜及其蚀刻阻挡膜,通常可形成为50~10,000nm、尤其是100~5,000nm的厚度。
本实施方式的图案形成方法中,可以在基板上形成有机下层膜或有机硬掩模。其中,有机下层膜可以使用旋转涂布法等由涂布型有机下层膜材料形成,有机硬掩模可以使用CVD法由以碳为主成分的有机硬掩模的材料形成。这种有机下层膜和有机硬掩模的种类等没有特别限定,通过曝光而对上层抗蚀膜进行图案形成时,优选表现出充分的防反射膜功能。通过形成这种有机下层膜或有机硬掩模,从而能够将利用上层抗蚀膜而形成的图案转印至基材(被加工物)上,而不产生尺寸转换差异。另外,“以碳为主成分的”硬掩模是指:固体成分的50质量%以上由也被称为非晶碳的a-C:H所示的非晶氢化碳等碳系材料构成的硬掩模。a-C:H膜可利用各种技术来堆积,出于费效比和膜质调整可能性而广泛使用等离子体化学气相堆积(PECVD)。作为前述硬掩模的例子,可以参照例如日本特表2013-526783号公报中记载的例子。
对于本实施方式的图案的形成方法中使用的使用了本实施方式的抗蚀剂下膜形成用组合物的抗蚀剂下层膜,可以通过旋涂法等由光刻用下层膜形成用组合物在设置有有机下层膜等的被加工物上制作。通过旋涂法来形成抗蚀剂下膜时,在旋涂后使溶剂蒸发,出于防止与上层抗蚀膜混合的目的,为了促进交联反应而期望进行烘烤。烘烤温度优选在50~500℃的范围内。此时,还取决于制造设备的结构,为了减少对设备造成的热损伤,烘烤温度特别优选为400℃以下。烘烤时间优选使用10秒~300秒的范围内。
另外,本实施方式的图案形成方法中,作为在上层抗蚀膜形成图案的方法,可适宜地使用:使用波长为300nm以下的光或EUV光的光刻法;电子射线直接描画法、以及感应自组织化法(inductive self-organization method)中的任意方法。通过使用这种方法,从而能够在抗蚀剂上层膜上形成微细的图案。
作为前述上层抗蚀膜组合物,可根据在上述上层抗蚀膜形成图案的方法来适当选择。例如,在使用300nm以下的光或EUV光进行光刻的情况下,作为上层抗蚀膜组合物,可以使用化学增幅型的光致抗蚀剂膜材料。作为这种光致抗蚀剂膜材料,可例示出:在形成光致抗蚀剂膜并进行曝光后,通过使用碱显影液将曝光部溶解而形成正型图案的材料;通过使用包含有机溶剂的显影液,将未曝光部溶解而形成负型图案的材料。
由本实施方式的光刻用下层膜形成用组合物形成的抗蚀剂下层膜有时根据在光刻工艺中使用的光波长而吸收该光。并且,在这种情况下,可作为具有防止来自基板的反射光这一效果的防反射膜而发挥功能。
另外,作为EUV抗蚀剂的下层膜,除了作为硬掩模的功能之外,也可以出于以下的目的来使用。作为不会与EUV抗蚀剂混合、能够防止在EUV曝光(波长为13.5nm)时不优选的曝光光线、例如上述UV、DUV(ArF光、KrF光)从基板或界面发生反射的EUV抗蚀剂的下层防反射膜,可以使用本实施方式所述的光刻用下层膜形成用组合物。能够利用EUV抗蚀剂的下层而有效地防止反射。另外,前述下层膜形成用组合物的EUV吸收能力优异,因此,能够表现出上层抗蚀组合物的增敏作用,有助于提高灵敏度。在用作EUV抗蚀剂下层膜的情况下,工艺可以与光致抗蚀剂用下层膜同样地进行。
〔第二实施方式〕
<光刻用下层膜形成用组合物>
本发明的第二实施方式所述的光刻用下层膜形成用组合物为含有本实施方式所述的产酸剂的光刻用下层膜形成用组合物。本实施方式的光刻用下层膜形成用组合物能够降低膜的缺陷(形成薄膜),保存稳定性良好,灵敏度高且具有长期耐光性,并且,能够赋予良好的抗蚀图案形状。本实施方式的光刻用下层膜形成用组合物可以不含含硅化合物。
本实施方式的光刻用下层膜形成用组合物能够应用湿式工艺,能够实现对于形成耐热性、密合性、高低差填埋特性、尤其是平坦性优异的光致抗蚀剂下层膜而言有用的光刻用下层膜形成用组合物。并且,该光刻用下层膜形成用组合物使用能够将交联密度提高得较高、溶剂溶解性也高且具有特定结构的化合物,因此,可抑制烘烤时的膜劣化,能够形成对于氟气体系等离子体蚀刻等的耐蚀刻性也优异的下层膜。进而,与抗蚀层的密合性也优异,因此,能够形成优异的抗蚀图案。本实施方式的光刻用下层膜形成用组合物尤其是耐热性、高低差填埋特性和平坦性优异,因此,可用作例如多个抗蚀层之中的设置于最下层的抗蚀剂下层膜形成用组合物。其中,使用本实施方式的光刻用下层膜形成用组合物而形成的抗蚀剂下层膜可以进一步在基板之间包含其它抗蚀剂下层。
本实施方式所述的光刻用下层膜形成用组合物中,除了包含本实施方式所述的产酸剂之外,可以进一步包含溶剂、酸交联剂等。进而,作为任选成分,可以包含碱性化合物、其它、水、醇和固化催化剂等。从涂布性和品质稳定性的观点出发,光刻用下层膜形成用组合物中的本实施方式所述的产酸剂的含量优选为0.001~49质量%、更优选为1~40质量%、特别优选为3~30质量%。
-溶剂-
作为本实施方式中使用的溶剂,只要至少本实施方式所述的产酸剂溶解,就可以适当使用公知的溶剂。可列举出例如国际公开第2017/188451号中公开的溶剂。
溶剂的含量没有特别限定,从溶解性和制膜方面的观点出发,相对于前述光刻用下层膜形成用组合物的全部固体成分100质量份,优选为100~10,000质量份、更优选为200~5,000质量份、进一步优选为200~1,000质量份。
-酸交联剂-
如上述那样,从抑制混合等观点出发,本实施方式的光刻用下层膜形成用组合物根据需要可以含有酸交联剂。作为本实施方式中能够使用的酸交联剂,可列举出例如三聚氰胺化合物、环氧化合物、胍胺化合物、甘脲化合物、脲化合物、硫代环氧化合物、异氰酸酯化合物、叠氮化合物、包含烯基醚基等双键且具有选自羟甲基、烷氧基甲基、酰氧基甲基中的至少一种基团作为取代基(交联性基团)的化合物等,但不特别限定于它们。需要说明的是,这些酸交联剂可以单独使用1种或者组合使用2种以上。另外,它们可以用作添加剂。另外,包含羟基的化合物也可用作交联剂。作为前述酸交联剂的具体例,可列举出例如国际公开2013/024779号中记载的例子。
本实施方式的光刻用下层膜形成用组合物中,酸交联剂的含量没有特别限定,相对于前述光刻用下层膜形成用组合物的全部固体成分100质量,优选为5~50质量份、更优选为10~40质量份。通过设为上述优选范围,从而存在抑制与抗蚀层发生混合这一现象的倾向,另外,存在提高防反射效果、提高交联后的膜形成性的倾向。
-碱性化合物-
进而,从提高保存稳定性等观点出发,本实施方式的光刻用下层膜形成用组合物可以含有碱性化合物。
碱性化合物发挥出用于防止由产酸剂微量产生的酸使交联反应进行的、对于酸而言的猝灭剂的作用。作为这种碱性化合物,可列举出例如伯、仲或叔的脂肪族胺类、混合胺类、芳香族胺类、杂环胺类、具有羧基的含氮化合物、具有磺酰基的含氮化合物、具有羟基的含氮化合物、具有羟基苯基的含氮化合物、醇性含氮化合物、酰胺衍生物、酰亚胺衍生物等,不特别限定于它们。作为碱性化合物的具体例,可列举出例如国际公开2013/024779号中记载的例子。
本实施方式的光刻用下层膜形成用组合物中,碱性化合物的含量没有特别限定,相对于前述光刻用下层膜形成用组合物的全部固体成分100质量份,优选为0.001~2质量份、更优选为0.01~1质量份。通过设为上述优选范围,从而存在能够提高保存稳定性而不过度损害交联反应的倾向。
另外,本实施方式的光刻用下层膜形成用组合物中,出于赋予热固性、控制吸光度的目的,可以含有其它树脂或化合物。作为这种其它树脂或化合物,可列举出萘酚树脂、二甲苯树脂萘酚改性树脂、萘树脂的苯酚改性树脂、多羟基苯乙烯、二环戊二烯树脂、(甲基)丙烯酸酯、二甲基丙烯酸酯、三甲基丙烯酸酯、四甲基丙烯酸酯、包含乙烯基萘、聚苊烯等萘环、菲醌、芴等联苯环、噻吩、茚等具有杂原子的杂环的树脂、不含芳香族环的树脂;松香系树脂、环糊精、金刚烷(聚)醇、三环癸烷(聚)醇和它们的衍生物等包含脂环结构的树脂或化合物等,但不特别限定于它们。进而,本实施方式的光刻用下层膜形成用组合物可以含有公知的添加剂。作为前述公知的添加剂,不限定于以下,可列举出例如紫外线吸收剂、表面活性剂、着色剂、非离子系表面活性剂。
<光刻用抗蚀剂下层膜和图案形成方法>
本发明的第二实施方式所述的光刻用抗蚀剂下层膜使用前述本发明的第二实施方式所述的光刻用下层膜形成用组合物来形成。本实施方式中形成的图案可以用作例如抗蚀图案、电路图案。
另外,本发明的第二实施方式所述的图案形成方法具有如下工序:使用本发明的第二实施方式的光刻用下层膜形成用组合物,在基板上形成抗蚀剂下层膜的工序(A-1工序);在前述抗蚀剂下层膜上形成至少1层光致抗蚀层的工序(A-2工序);以及,在前述A-2工序中形成至少1层光致抗蚀层后,对前述光致抗蚀层的规定区域照射辐射线,进行显影的工序(A-3工序)。此外,“光致抗蚀层”是指在抗蚀层的最外层、即抗蚀层中的最表侧(与基板相反的一侧)设置的层。
进而,本发明的第二实施方式的其它图案形成方法具有如下工序:使用本发明的第二实施方式的光刻用下层膜形成用组合物,在基板上形成抗蚀剂下层膜的工序(B-1工序);使用抗蚀剂中间层膜材料(例如含硅的抗蚀层),在前述下层膜上形成抗蚀剂中间层膜的工序(B-2工序);在前述抗蚀剂中间层膜上形成至少1层光致抗蚀层的工序(B-3工序);在前述B-3工序中形成至少1层光致抗蚀层后,对前述光致抗蚀层的规定区域照射辐射线,进行显影而形成抗蚀图案的工序(B-4工序);以及,在前述B-4工序中形成抗蚀图案后,将前述抗蚀图案作为掩模,对前述抗蚀剂中间层膜进行蚀刻,将所得中间层膜图案作为蚀刻掩模,对前述下层膜进行蚀刻,将所得下层膜图案作为蚀刻掩模,对基板进行蚀刻,由此在基板形成图案的工序(B-5工序)。
本实施方式的光刻用抗蚀剂下层膜只要由本实施方式的光刻用下层膜形成用组合物形成,其形成方法就没有特别限定,可以应用公知的方法。例如,通过旋涂、丝网印刷等公知的涂布法或印刷法等在基板上赋予本实施方式的光刻用下层膜形成用组合物后,使有机溶剂进行挥发等而将其去除,由此能够形成抗蚀剂下层膜。
在形成抗蚀剂下层膜时,为了抑制与上层抗蚀剂(例如光致抗蚀层、抗蚀剂中间层膜)发生混合现象且促进交联反应,优选实施烘烤处理。该情况下,烘烤温度没有特别限定,优选在80~450℃的范围内、更优选为200~400℃。另外,烘烤时间也没有特别限定,优选在10秒钟~300秒钟的范围内。需要说明的是,抗蚀剂下层膜的厚度可根据要求性能来适当选择,没有特别限定,通常优选为30~20,000nm左右,更优选设为50~15,000nm。
可以在基板上制作抗蚀剂下层膜后,在光致抗蚀层与抗蚀剂下层膜之间设置抗蚀剂中间层膜。例如,在二层工艺的情况下,可以在抗蚀剂下层膜上设置含硅的抗蚀层或通常的包含烃的单层抗蚀剂等来作为抗蚀剂中间层膜。另外,例如,在三层工艺的情况下,优选在抗蚀剂中间层膜与光致抗蚀层之间制作含硅的中间层,进而在其上制作不含硅的单层抗蚀层。作为用于形成这些光致抗蚀层、抗蚀剂中间层膜和设置在这些层之间的抗蚀层的光致抗蚀材料,可以使用公知的材料。
例如,作为二层工艺用的含硅的抗蚀材料,从耐氧气蚀刻性的观点出发,作为基础聚合物,使用聚倍半硅氧烷衍生物或乙烯基硅烷衍生物等含有硅原子的聚合物,进而,优选使用包含有机溶剂、根据需要的碱性化合物等的正型光致抗蚀材料。此处,作为含有硅原子的聚合物,可以使用这种抗蚀材料中使用的公知聚合物。
另外,例如,作为三层工艺用的含硅的中间层,优选使用聚倍半硅氧烷基础的中间层。通过使抗蚀剂中间层膜具备作为防反射膜的效果,从而存在能够有效地抑制反射的倾向。例如,在193nm曝光用工艺中,若使用大量包含芳香族基团且基板耐蚀刻性高的材料来作为抗蚀剂下层膜,则存在k值变高、基板反射变高的倾向,通过利用抗蚀剂中间层膜来抑制反射,从而能够使基板反射为0.5%以下。作为具有这种防反射效果的中间层,不限定于以下,作为193nm曝光用途,优选使用导入了具有苯基或硅-硅键的吸光基团且因酸或热而发生交联的聚倍半硅氧烷。
另外,也可以使用利用Chemical Vapour Deposition(CVD)法而形成的抗蚀剂中间层膜。对于利用CVD法而制作的作为防反射膜的效果高的中间层,不限定于以下,已知例如SiON膜。一般来说,利用CVD法并通过旋涂法、丝网印刷等湿式工艺来形成抗蚀剂中间层膜时,简便且具有成本方面的优点。需要说明的是,三层工艺中的上层抗蚀剂可以为正型,也可以为负型,另外,可以使用与通常使用的单层抗蚀剂相同的抗蚀剂。
进而,本实施方式的抗蚀剂下层膜也可以用作通常的单层抗蚀剂用防反射膜或图案倾塌抑制用的基底材料。本实施方式的抗蚀剂下层膜的用于进行基底加工的耐蚀刻性优异,因此,也可期待作为用于进行基底加工的硬掩模的功能。
利用上述公知的光致抗蚀材料来形成抗蚀层时,与形成前述抗蚀剂下层膜的情况同样地优选使用旋涂法、丝网印刷等湿式工艺。另外,在利用旋涂法等来涂布抗蚀材料后,通常进行预烘烤,该预烘烤优选在烘烤温度为80~180℃且烘烤时间为10秒钟~300秒钟的范围内进行。其后,通过按照常规方法来进行曝光,并进行后曝光烘烤(PEB)、显影,从而能够得到抗蚀图案。需要说明的是,各抗蚀膜的厚度没有特别限定,一般优选为30nm~500nm,更优选为50nm~400nm。
另外,曝光光线只要根据所使用的光致抗蚀材料来适当选择并使用即可。一般来说,可列举出波长为300nm以下的高能量射线,具体可列举出248nm、193nm、157nm的准分子激光、3~20nm的软X射线、电子束、X射线等。
利用上述方法而形成的抗蚀图案借助本实施方式的抗蚀剂下层膜来抑制图案倾塌。因此,通过使用本实施方式的抗蚀剂下层膜,从而能够得到更微细的图案,另外,能够降低为了获得该抗蚀图案而需要的曝光量。
接着,以所得抗蚀图案作为掩模来进行蚀刻。作为二层工艺中的抗蚀剂下层膜的蚀刻,优选使用气体蚀刻。作为气体蚀刻,适合为使用氧气的蚀刻。在氧气的基础上,也可以添加He、Ar等非活性气体;CO、CO2、NH3、SO2、N2、NO2、H2气体。另外,还可以不使用氧气,而是仅利用CO、CO2、NH3、N2、NO2、H2气体来进行气体蚀刻。尤其是,为了进行用于防止图案侧壁底切的侧壁保护,优选使用后者的气体。
另一方面,在三层工艺中的中间层(位于光致抗蚀层与抗蚀剂下层膜之间的层)的蚀刻中,也优选使用气体蚀刻。作为气体蚀刻,可应用与在上述二层工艺中说明的气体蚀刻相同的气体蚀刻。尤其是,三层工艺中的中间层的加工优选使用氟利昂系气体并以抗蚀图案作为掩模来进行。其后,通过如上所述地以中间层图案作为掩模,并进行例如氧气蚀刻,从而能够进行抗蚀剂下层膜的加工。
此处,形成无机硬掩模中间层膜来作为中间层时,利用CVD法、ALD法等来形成硅氧化膜、硅氮化膜、硅氮氧化膜(SiON膜)。作为氮化膜的形成方法,不限定于以下,可以使用例如日本特开2002-334869号公报、WO2004/066377中记载的方法。可以在这种中间层膜上直接形成光致抗蚀剂膜,也可以利用旋涂在中间层膜上形成有机防反射膜(BARC),并在其上形成光致抗蚀剂膜。
作为中间层,也优选使用聚倍半硅氧烷基础的中间层。通过使抗蚀剂中间膜具备作为防反射膜的效果,从而存在能够有效抑制反射的倾向。关于聚倍半硅氧烷基础的中间层的具体材料,不限定于以下,可以使用例如日本特开2007-226170号公报、日本特开2007-226204号公报中记载的材料。
另外,基板的蚀刻也可以通过常规方法来进行,例如,如果基板为SiO2、SiN,则可以进行以氟利昂系气体作为主体的蚀刻;为p-Si、Al、W时,可以进行以氯系、溴系气体作为主体的蚀刻。利用氟利昂系气体来蚀刻基板时,二层抗蚀剂工艺的含硅的抗蚀剂与三层工艺的含硅的中间层可以在基板加工的同时进行剥离。另一方面,利用氯系或溴系气体对基板进行蚀刻时,另外进行含硅的抗蚀层或含硅的中间层的剥离,一般来说,在基板加工后,利用氟利昂系气体来进行干式蚀刻剥离。
本实施方式的抗蚀剂下层膜的这些基板的耐蚀刻性优异。需要说明的是,作为基板,可适当选择并使用公知的基板,没有特别限定,可列举出Si、α-Si、p-Si、SiO2、SiN、SiON、W、TiN、Al等。另外,基板可以为在基材(支承体)上具有被加工膜(被加工基板)的层叠体。作为这种被加工膜,可列举出Si、SiO2、SiON、SiN、p-Si、α-Si、W、W-Si、Al、Cu、Al-Si等各种Low-k膜及其阻挡膜等,通常使用材质与基材(支承体)不同的膜。需要说明的是,成为加工对象的基板或被加工膜的厚度没有特别限定,通常优选为50nm~10,000nm左右、更优选为75nm~5,000nm。
本实施方式的抗蚀剂下层膜的向具有高低差的基板中填埋的填埋平坦性优异。作为填埋平坦性的评价方法,可以适当选择并使用公知的方法,没有特别限定,通过例如利用旋涂在具有高低差的硅制基板上涂布调整至规定浓度的各化合物的溶液,以110℃进行90秒钟的溶剂去除干燥,以成为规定厚度的方式形成下层膜后,以240~300℃左右的温度烘烤规定时间后,利用椭偏仪测定线&空间区域与没有图案的开放区域的下层膜厚度差(ΔT),由此,能够评价对于高低差基板而言的填埋平坦性。
(光学物品形成用组合物和光学物品)
本实施方式所述的光学部件形成用组合物为含有本实施方式所述的产酸剂的光学部件形成用组合物。该光学部件形成用组合物可有效地用于形成光学物品。本实施方式的光学部件形成用组合物通过含有本实施方式所述的产酸剂,从而可期待所得光学物品的高折射率和高透明性,进而,可期待保存稳定性、结构体形成能力(膜形成能力)、耐热性。
从光学部件的小型化、集光率的提高的观点出发,光学物品的折射率优选为1.65以上,更优选为1.70以上,进一步优选为1.75以上。从提高集光率的观点出发,光学物品的透明性优选为70%以上,更优选为80%以上,进一步优选为90%以上。
折射率的测定方法没有特别限定,可以使用公知的方法。可列举出例如椭偏光谱法、最小偏角法、临界角法(阿贝式、普尔弗里希式)、V形块(V-block)法、棱镜耦合器法、液体浸渍法(贝克线法)。透明性的测定方法没有特别限定,可以使用公知方法。可列举出例如分光光度计、椭偏光谱法。
另外,将该光学部件形成用组合物进行固化而得到的、用于形成光学物品的本实施方式所述的固化物可以为三维交联物,通过低温~高温的宽范围的热处理而抑制着色,可期待高折射率和高透明性。
本实施方式的光学部件形成用组合物中,除了含有本实施方式所述的产酸剂之外,可以进一步含有溶剂。作为该溶剂,可以与前述本实施方式的光刻用下层膜形成用组合物中使用的溶剂相同。
本实施方式的光学部件形成用组合物中,固体成分量与溶剂量的关系没有特别限定,相对于固体成分和溶剂的合计100质量%,优选固体成分为1~80质量%且溶剂为20~99质量%,更优选固体成分为1~50质量%且溶剂为50~99质量%,进一步优选固体成分为2~40质量%且溶剂为60~98质量%,特别优选固体成分为2~10质量%且溶剂为90~98质量%。需要说明的是,本实施方式的光学部件形成用组合物也可以不含溶剂。
本实施方式的光学部件形成用组合物中,作为其它固体成分,可以含有选自由酸交联剂(G)、酸扩散控制剂(E)和其它成分(F)组成的组中的至少一种。
本实施方式的光学部件形成用组合物中,本实施方式所述的产酸剂的含量没有特别限定,优选为固体成分的总质量(本实施方式所述的产酸剂、酸交联剂(G)、酸扩散控制剂(E)和其它成分(F)等任选使用的固体成分的总和、以下同样)的0.001~49质量%、更优选为1~40质量%、进一步优选为3~30质量%、特别优选为3~20质量%。
-酸交联剂(G)-
本实施方式的光学部件形成用组合物在用作用于增加结构体的强度的添加剂时,优选包含一种以上的酸交联剂(G)。酸交联剂(G)没有特别限定,可以与例如前述本实施方式的光刻用下层膜形成用组合物中可包含的酸交联剂(G)相同。
本实施方式的光学部件形成用组合物中,酸交联剂(G)的含量优选为固体成分的总质量的0.5~49质量%,更优选为0.5~40质量%,进一步优选为1~30质量%,特别优选为2~20质量%。若将前述酸交联剂(G)的含有比例设为0.5质量%以上,则能够提高抑制光学部件形成用组合物在有机溶剂中的溶解性的效果,故而优选,另一方面,若设为49质量%以下,则能够抑制作为光学部件形成用组合物的耐热性的降低,故而优选。
另外,前述酸交联剂(G)中的选自前述酸交联剂(G1)、前述酸交联剂(G2)、前述酸交联剂(G3)中的至少1种化合物的含量也没有特别限定,可根据在形成光学部件形成用组合物时使用的基板种类等而设为各种范围。
-酸扩散控制剂(E)-
本实施方式的光学部件形成用组合物可以含有酸扩散控制剂(E),所述酸扩散控制剂(E)具有控制由产酸剂产生的酸在光学部件形成用组合物中的扩散、阻止不优选的化学反应的作用等。通过使用这样的酸扩散控制剂(E),从而光学部件形成用组合物的贮藏稳定性提高。另外,在分辨率进一步提高的同时,能够抑制由加热后的曝光后延迟显影时间的变动导致的结构体的线宽变化,工艺稳定性极其优异。酸扩散控制剂(E)没有特别限定,可以与例如前述本实施方式的光刻用下层膜形成用组合物中可包含的酸扩散控制剂(E)相同。
酸扩散控制剂(E)的含量优选为固体成分的总质量的0.001~49质量%,更优选为0.01~10质量%,进一步优选为0.01~5质量%,特别优选为0.01~3质量%。若酸扩散控制剂(E)的含量在前述范围内,则能够进一步抑制分辨率的降低、图案形状、尺寸忠实度等的劣化。进而,即便从照射电子射线起至照射辐射线后的加热为止的曝光后延迟显影时间变长,图案上层部的形状也不会劣化。另外,若酸扩散控制剂(E)的含量为10质量%以下,则能够防止灵敏度、未曝光部的显影性等的降低。另外,通过使用这种酸扩散控制剂,从而光学部件形成用组合物的贮藏稳定性提高,另外,在分辨率提高的同时,能够抑制由照射辐射线前的曝光后延迟显影时间、照射辐射线后的曝光后延迟显影时间的变动导致的光学部件形成用组合物的线宽变化,工艺稳定性极其优异。
-其它成分(F)-
本实施方式的光学部件形成用组合物中,在不阻碍本实施方式的目的的范围内,根据需要可以添加1种或2种以上的溶解促进剂、溶解控制剂、敏化剂、表面活性剂、以及有机羧酸或磷的含氧酸或其衍生物等各种添加剂来作为其它成分(F)。作为其它成分(F),可以与例如前述本实施方式的光刻用下层膜形成用组合物中可包含的其它成分(F)相同。
其它成分(F)的总含量优选为固体成分的总质量的0~49质量%,更优选为0~5质量%,进一步优选为0~1质量%,特别优选为0质量%。
本实施方式的光学部件形成用组合物中,本实施方式所述的产酸剂、酸扩散控制剂(E)、其它成分(F)的含量(本实施方式所述的产酸剂/酸扩散控制剂(E)/其它成分(F))以固态物基准的质量%计优选为10~90/1~30/0~10。各成分的含有比例以其总和成为100质量%的方式从各范围内进行选择。若设为前述含有比例,则灵敏度、分辨率、显影性等性能更优异。
本实施方式的光学部件形成用组合物的制备方法没有特别限定,可列举出例如在使用时将各成分溶解于溶剂而制成均匀溶液,其后,根据需要利用例如孔径为0.2μm左右的过滤器等进行过滤的方法等。
本实施方式的光学部件形成用组合物中,可以在不阻碍本发明目的的范围内包含其它树脂。其它树脂没有特别限定,可列举出例如酚醛清漆树脂、聚乙烯基苯酚类、聚丙烯酸、聚乙烯醇、苯乙烯-马来酸酐树脂;以及,包含丙烯酸、乙烯醇或乙烯基苯酚作为单体单元的聚合物或它们的衍生物等。该树脂的含量没有特别限定,根据所使用的本实施方式所述的产酸剂的种类来适当调节。
另外,本实施方式的固化物通过将前述光学部件形成用组合物进行固化来获得,可以用作各种树脂。这些固化物可作为赋予高熔点、高折射率和高透明性之类的各种特性的高通用性材料而用于各种用途。需要说明的是,该固化物可通过使用对前述组合物进行光照射、加热等与各组成相应的公知方法来获得。
这些固化物可用作环氧树脂、聚碳酸酯树脂、丙烯酸类树脂等各种合成树脂,进而,可活用功能性而用作镜片、光学片等光学部件。
实施例
以下,列举出实施例,更具体地说明本实施方式。其中,本发明不限定于这些实施例。
[实施例1]
(BEPMS的合成)
如下述式所示那样地合成下述BEPMS。
Figure BDA0003937760850000841
具体而言,利用以下的方法来合成BEPMS。在200ml茄型烧瓶内,使4-甲基硫代苯酚(22mmol;3.120g)和碳酸钾(85mmol;11.71g)溶解于丙酮(75ml),在氮气下以0℃搅拌15分钟。其后,滴加二溴乙烷(69mmol;12.90g),以50℃使其反应24小时。对所得物质进行膜过滤,置于蒸发器中挥发掉溶剂时,得到白色的固体(BEPMS)。在结构分析中使用NMR、IR,还进行TLC测定和熔点测定。其后,在展开溶剂中使用氯仿,利用硅胶柱色谱法对产物进行纯化。在结构分析中使用IR、NMR,还进行熔点测定。熔点为64~66℃、收量为1.43g、收率为27.6%。将BEPMS的1H-NMR波谱示于图1。
(MTP-BEPMS的合成)
如下述式所示那样地合成下述MTP-BEPMS。
Figure BDA0003937760850000842
具体而言,利用以下的方法来合成MTP-BEPMS。在试管内,使4,4’,4”-三羟基三苯基甲烷(MTP)(0.5mmol;0.1461g)、碳酸铯(2.0mmol;0.651g)、作为相转移催化剂的TBAB(0.2mmol;0.0644)溶解于DMF(5ml),以80℃搅拌30分钟。其后,使前述BEPMS(2.0mmol;0.493g)溶解于DMF(2ml),进行滴加,以80℃使其反应24小时。利用1N HCl使所得物质发生再沉淀,进行桐山过滤(Kiriyama's filtration),得到固体,溶解于氯仿,并利用己烷使其再沉淀。对所得物质进行膜过滤,纯化出橙色的固体(MTP-BEPMS)。在结构分析中使用NMR、IR,还进行熔点测定。收量为0.336g、收率为85%、熔点为112~113℃。将MTP-BEPMS的1H-NMR波谱示于图2。
(MTP-BEPMS离子化合物的合成)
如下述式所示那样地合成下述MTP-BEPMS离子化合物。
Figure BDA0003937760850000851
具体而言,利用以下的方法来合成MTP-BEPMS离子化合物。向20ml茄型烧瓶中添加前述MTP-BEPMS(0.1mmol;0.0791g)和AgCF3SO3(0.4mmol;0.1027g),进行脱气并氮气置换后,添加碘甲烷(0.4mmol;0.025ml)、作为溶剂的乙腈(5ml),在常温下且在遮光条件下使其反应24小时。对所得物质进行膜过滤,将滤液置于蒸发器而蒸发掉溶剂,由此得到褐色的粘性固体。其后,溶解于丙酮,并利用乙醚进行再沉淀,纯化出褐色的粘性固体(MTP-BEPMS离子化合物)。在结构分析中使用NMR、IR。将MTP-BEPMS离子化合物的1H-NMR波谱示于图3。
[实施例2~7]
代替实施例1中使用的4,4’,4”-三羟基三苯基甲烷(MTP),使用表1所示的化合物,同样地进行合成,得到表1所示的离子化合物。XBisN-1使用与国际公开第2013/024778号的合成例15同样操作而得到的物质。BiF-1使用与国际公开第2015/137485号的合成例1同样操作而得到的物质。NF71A7使用与国际公开第2019/151403号记载的多酚(B)的制造同样操作而得到的物质。
[表1]
合成中使用的化合物 所得的离子化合物
实施例1 MTP MTP-BEPMS离子化合物
实施例2 bis-A bis-A-BEPMS离子化合物
实施例3 bis-AF bis-AF-BEPMS离子化合物
实施例4 ODP ODP-BEPMS离子化合物
实施例5 XBisN-1 XBisN-1-BEPMS离子化合物
实施例6 BiF-1 BiF-1-BEPMS离子化合物
实施例7 NF71A7 NF71A7-BEPMS离子化合物
Figure BDA0003937760850000861
Figure BDA0003937760850000871
Figure BDA0003937760850000881
Figure BDA0003937760850000891
[实施例8]
(BHPMS的合成)
如下述式所示那样地合成下述BHPMS。
Figure BDA0003937760850000901
具体而言,利用以下的方法来合成BHPMS。在100ml茄型烧瓶内,使4-甲基硫代苯酚(7mmol;0.98g)和碳酸钾(7mmol;0.96g)溶解于THF(30ml),在氮气下且在回流条件下搅拌2小时。其后,添加1,6二溴己烷(35mmol;8.53g),以70℃使其反应24小时。使用盐酸和氯仿对所得物质进行提取,用蒸发器将有机层浓缩。其后,将甲醇用作不良溶剂,进行再沉淀而得到白色的固体(BHPMS)。其后,利用硅胶柱色谱法对产物进行纯化。在结构分析中使用IR、NMR,还进行熔点测定。熔点为71~72℃、收量为0.7g、收率为33%。将BHPMS的1H-NMR波谱示于图4。
(MTP-BHPMS的合成)
如下述式所示那样地合成下述MTP-BHPMS。
Figure BDA0003937760850000902
具体而言,利用以下的方法来合成MTP-BHPMS。在50mL的茄型烧瓶内,使4,4’,4”-三羟基三苯基甲烷(MTP)(0.5mmol;0.1461g)、碳酸钾(2.0mmol;0.651g)、作为相转移催化剂的TBAB(0.2mmol;0.0644)溶解于DMF(7ml),以80℃搅拌30分钟。其后,将前述BHPMS(2.0mmol;0.493g)溶解于DMF(3ml),进行滴加,以80℃使其反应24小时。利用1N HCl使所得物质进行再沉淀,进行桐山过滤,得到固体,溶解于氯仿,并利用己烷进行再沉淀。通过倾析来回收所得物质,得到红色的固体(MTP-BHPMS)。在结构分析中使用NMR、IR。收量为0.85g、收率为88%。将MTP-BHPMS的1H-NMR波谱示于图5。
(MTP-BHPMS离子化合物的合成)
如下述式所示那样地合成下述MTP-BHPMS离子化合物。
Figure BDA0003937760850000911
具体而言,利用以下的方法来合成MTP-BHPMS离子化合物。向20ml茄型烧瓶中添加前述MTP-BHPMS(0.83mmol;0.80g)和AgCF3SO3(3mmol;0.77g),进行脱气并氮气置换后,添加碘甲烷(3mmol;0.186ml)、作为溶剂的乙腈(5ml),在常温下且在遮光条件下使其反应24小时。对所得物质进行膜过滤,将滤液置于蒸发器而挥发掉溶剂,由此得到褐色的粘性固体。其后,溶解于丙酮,并利用乙醚进行再沉淀,纯化出红色的粘性固体(MTP-BHPMS离子化合物)。在结构分析中使用NMR、IR。将MTP-BHPMS离子化合物的1H-NMR波谱示于图6。
[耐热性评价]
利用热重测定装置(TGA)来测定实施例1~8中得到的离子化合物的热分解开始温度。将测定结果示于表2。
[表2]
离子化合物 热分解开始温度(℃)
实施例1 MTP-BEPMS离子化合物 280
实施例2 bis-A-BEPMS离子化合物 190
实施例3 bis-AF-BEPMS离子化合物 239
实施例4 ODP-BEPMS离子化合物 246
实施例5 XBisN-1-BEPI离子化合物 300
实施例6 BiF-1-BEPI离子化合物 250
实施例7 NF71A7-BEPI离子化合物 298
实施例8 MTP-BHPMS离子化合物 218
如表2所示那样,实施例1~8中得到的离子化合物均显示高的热分解温度。因此可知:本实施方式所述的化合物具有高耐热性。本实施方式所述的化合物的耐热性高,因此,能够提高成膜时的烘烤温度,对于平坦化而言是有利的。另外,由于能够得到硬度高的膜,因此,若用作抗蚀剂、下层膜而形成图案,则能够维持分辨率高的图案。
[比较例1]
(AC-1的合成)
合成具有下述式所示结构的树脂、即AC-1。
Figure BDA0003937760850000921
具体而言,利用以下的方法来合成AC-1。使2-甲基-2-甲基丙烯酰氧基金刚烷4.15g、甲基丙烯酰氧基-γ-丁内酯3.00g、甲基丙烯酸3-羟基-1-金刚烷基酯2.08g和偶氮双异丁腈0.38g溶解于四氢呋喃80mL,制成反应溶液。在氮气气氛下,将反应温度保持至63℃,使该反应溶液聚合22小时后,将反应溶液滴加至400mL的正己烷中。对所得树脂进行凝固纯化,对所得白色粉末进行过滤后,在减压下以40℃使其干燥一晚,得到AC-1。
[灵敏度评价]
使实施例1~8中得到的离子化合物溶解于丙二醇单甲基醚,制成3%溶液。将该溶液滴加在硅晶圆上,使用旋涂机以3300rpm涂布30秒钟。将其以90℃烘烤60秒钟,得到50~80nm的薄膜。在测定膜厚后,利用Litho Tech Japan公司制的“EUV曝光装置(EUVES-7000)”进行EUV照射,在离子交换水中浸渍30秒钟来进行显影。将膜厚成为0时的EUV照射量设为灵敏度。另外,使用比较例1中得到的树脂AC-1,代替在离子交换水中浸渍30秒钟,在TMAH2.38质量%的碱显影液中浸渍60秒钟来进行显影,同样地测定灵敏度。将结果示于表3。
[表3]
离子化合物 灵敏度(mJ/cm<sup>2</sup>)
实施例1 MTP-BEPMS离子化合物 18
实施例2 bis-A-BEPMS离子化合物 20
实施例3 bis-AF-BEPMS离子化合物 30
实施例4 ODP-BEPMS离子化合物 30
实施例5 XBisN-1-BEPMS离子化合物 25
实施例6 BiF-1-BEPMS离子化合物 30
实施例7 NF71A7-BEPMS离子化台物 30
实施例8 MTP-BHPMS离子化合物 25
比较例1 AC-1 40以上
由表3的结果可知:本实施方式所述的化合物的灵敏度高,可用作高灵敏度的抗蚀剂。本实施方式所述的化合物不添加产酸剂即可得到高灵敏度,因此,不需要利用伴有会导致凹凸不平的酸扩散的化学增幅机理,在用作抗蚀剂的情况下,能够得到高分辨率的图案。
[用作产酸剂时的耐热性评价]
按照表4所示的量来配混实施例1~8中得到的离子化合物、Midori Kagaku Co.,Ltd.制的二叔丁基二苯基碘鎓九氟甲磺酸盐(DTDPI)、实施例5中用于原料的XBisN-1、三和化学公司制的NIKALAC MX270(NIKALAC)、丙二醇单甲基醚乙酸酯(PGMEA),制备组合物。需要说明的是,表4中的括号内的数值单位为“质量份”。
[表4]
Figure BDA0003937760850000941
通过旋涂将前述组合物涂布在膜厚300nm的硅晶圆上,以150℃烘烤60秒钟,由此形成厚度100nm的膜。进而,以400℃烘烤60秒钟,测定膜厚的减少率。将膜厚减少率小于40%的情况评价为A,将40%以上且小于60%的情况评价为B,将60%以上的情况评价为C。将评价结果示于表5。
[表5]
膜厚减少率评价
实施例1 A
实施例2 A
实施例3 A
实施例4 A
实施例5 A
实施例6 A
实施例7 A
实施例8 A
比较例2 C
比较例3 B
由表5可知:若将本实施方式所述的化合物用作产酸剂,则能够形成耐热性高的膜。
综上,本实施方式所述的化合物可适宜地用于抗蚀膜、下层膜、光学物品。

Claims (27)

1.一种化合物,其用下述式(P-0)表示,
Figure FDA0003937760840000011
式(P-0)中,Ar为碳原子数6~60的具有芳基的基团;ORTS各自独立地为羟基、下述式(TS-0)所示的基团或下述式(TS-1)所示的基团;n1为1~20的整数;其中,ORTS中的至少一个为下述式(TS-0)所示的基团或下述式(TS-1)所示的基团,
Figure FDA0003937760840000012
式(TS-0)中,R1为单键或任选具有取代基的碳原子数1~30的二价基团;R2为任选具有取代基的碳原子数1~10的烷基或任选具有取代基的碳原子数6~10的芳基;R3为任选具有取代基的碳原子数1~10的烷基或任选具有取代基的碳原子数6~10的芳基;An-为包含氟或碘的阴离子,
Figure FDA0003937760840000013
式(TS-1)中,R1、R3和An-与式(TS-0)的含义相同。
2.根据权利要求1所述的化合物,其中,所述式(TS-0)和所述式(TS-1)中,R3为任选具有取代基的碳原子数1~10的烷基;An-为R4SO3 -,R4为任选具有取代基的碳原子数1~9的包含氟或碘的一价基团。
3.根据权利要求1或2所述的化合物,其中,所述式(TS-0)和所述式(TS-1)中,R1为任选具有取代基的碳原子数2~6的二价基团。
4.根据权利要求1~3中任一项所述的化合物,其中,所述式(TS-0)中,R2为甲基或乙基。
5.根据权利要求4所述的化合物,其中,所述式(TS-0)中,R2为甲基。
6.根据权利要求1~5中任一项所述的化合物,其中,所述式(TS-0)和所述式(TS-1)中,R3为甲基,An-为CF3SO3 -
7.根据权利要求1~6中任一项所述的化合物,其为下述式(P-0A)所示的化合物,
Figure FDA0003937760840000021
式(P-0A)中,X各自独立地为氧原子、硫原子或未桥接;R4为单键或任选具有取代基的碳原子数1~30的2n价基团;R5和R6各自独立地为卤素原子、任选具有取代基的碳原子数1~30的直链状烷基、任选具有取代基的碳原子数3~30的支链状烷基、任选具有取代基的碳原子数3~30的环状烷基、任选具有取代基的碳原子数6~30的芳基、任选具有取代基的碳原子数2~30的烯基、任选具有取代基的碳原子数2~30的炔基、任选具有取代基的碳原子数1~30的烷氧基、氰基、硝基、氨基、羧酸基、硫醇基、羟基、所述式(TS-0)所示的基团或所述式(TS-1)所示的基团,所述烷基、所述芳基、所述烯基、所述炔基、所述烷氧基任选包含醚键、酮键或酯键;m1和m2各自独立地为0~7的整数;p1和p2各自独立地为0或1;n2为1~4的整数;其中,m1和m2中的至少一者为1~7的整数,式(P-0A)包含至少一个作为R5或R6的所述式(TS-0)所示的基团或所述式(TS-1)所示的基团。
8.根据权利要求1~6中任一项所述的化合物,其为下述式(P-0B)所示的化合物,
Figure FDA0003937760840000031
式(P-0B)中,R7为碳原子数1~30的2n价基团;R8~R11各自独立地为卤素原子、任选具有取代基的碳原子数1~10的直链状烷基、任选具有取代基的碳原子数3~30的支链状烷基、任选具有取代基的碳原子数3~30的环状烷基、任选具有取代基的碳原子数6~30的芳基、任选具有取代基的碳原子数2~30的烯基、任选具有取代基的碳原子数2~30的炔基、任选具有取代基的碳原子数1~30的烷氧基、硫醇基、氰基、硝基、氨基、羧酸基、羟基、所述式(TS-0)所示的基团或所述式(TS-1)所示的基团,所述烷基、所述芳基、所述烯基、所述炔基、所述烷氧基任选包含醚键、酮键或酯键;m3和m4各自独立地为0~8的整数;m5和m6各自独立地为0~9的整数;p3~p6各自独立地为0~2的整数;n3为1~4的整数;其中,m3、m4、m5和m6中的至少一者为1以上的整数;式(P-0B)包含至少一个作为R8、R9、R10或R11的所述式(TS-0)所示的基团或所述式(TS-1)所示的基团。
9.根据权利要求1~6中任一项所述的化合物,其为下述式(P-0C)所示的化合物,
Figure FDA0003937760840000041
式(P-0C)中,L1~L4各自独立地为单键、任选具有取代基的碳原子数1~20的直链状亚烷基、任选具有取代基的碳原子数3~20的支链状亚烷基、任选具有取代基的碳原子数3~20的亚环烷基、任选具有取代基的碳原子数6~24的亚芳基、-O-、-OC(=O)-、-OC(=O)O-、-N(R20)-C(=O)-、-N(R20)-C(=O)O-、-S-、-SO-或-SO2-,R20为氢原子或任选具有取代基的碳原子数1~10的烷基,R16~R19各自独立地为任选具有取代基的碳原子数1~20的烷基、任选具有取代基的碳原子数3~20的环烷基、任选具有取代基的碳原子数6~20的芳基、任选具有取代基的碳原子数1~20的烷氧基、所述式(TS-0)所示的基团、所述式(TS-1)所示的基团、氰基、硝基、羟基、杂环基、卤素原子、羧基、碳原子数1~20的烷基甲硅烷基;具有因酸而发生解离这一性质的、碳原子数2~20的取代甲基、碳原子数3~20的1-取代乙基、碳原子数4~20的1-取代正丙基、碳原子数3~20的1-支链烷基、碳原子数1~20的甲硅烷基、碳原子数2~20的酰基、碳原子数2~20的1-取代烷氧基烷基、碳原子数2~20的环状醚基、碳原子数2~20的烷氧基羰基、烷氧基羰基烷基;或者氢原子,R12~R15各自独立地为碳原子数2~20的烷基、所述式(TS-0)所示的基团、所述式(TS-1)所示的基团或下述式(P-0C-1)所示的基团,
Figure FDA0003937760840000051
R21各自独立地为任选具有取代基的碳原子数1~20的烷基、任选具有取代基的碳原子数3~20的环烷基、任选具有取代基的碳原子数6~20的芳基、任选具有取代基的碳原子数1~20的烷氧基、氰基、硝基、杂环基、卤素原子、羧基、碳原子数1~20的烷基甲硅烷基;具有因酸而发生解离这一性质的、碳原子数2~20的取代甲基、碳原子数3~20的1-取代乙基、碳原子数4~20的1-取代正丙基、碳原子数3~20的1-支链烷基、碳原子数1~20的甲硅烷基、碳原子数2~20的酰基、碳原子数2~20的1-取代烷氧基烷基、碳原子数2~20的环状醚基、碳原子数2~20的烷氧基羰基、或者烷氧基羰基烷基,其中,R12~R19中的至少一个为所述式(TS-0)所示的基团或所述式(TS-1)所示的基团,m7~m10各自独立地为1~4的整数,p7为0~5的整数。
10.根据权利要求1~6中任一项所述的化合物,其为下述式(P-1)所示的化合物,
Figure FDA0003937760840000052
式(P-1)中,ORTS与所述式(P-0)的含义相同。
11.一种组合物,其包含权利要求1~10中任一项所述的化合物。
12.根据权利要求11所述的组合物,其还含有溶剂。
13.根据权利要求11或12所述的组合物,其还含有产酸剂。
14.根据权利要求11~13中任一项所述的组合物,其还含有酸交联剂。
15.一种抗蚀膜,其由权利要求11~14中任一项所述的组合物形成。
16.一种图案形成方法,其包括:
使用权利要求11~14中任一项所述的组合物,在基板上形成膜的膜形成工序;
对所述膜进行曝光的曝光工序;以及
对在所述曝光工序中进行了曝光的膜进行显影,形成图案的显影工序。
17.权利要求1~10中任一项所述的化合物的制造方法,其包括:
使下述式(P-0’)所示的化合物与下述式(TS-0’)所示的化合物或下述式(TS-1’)所示的化合物进行缩合,得到缩合物的工序;以及
使所述缩合物、具有包含氟或碘的阴离子的盐与烷基化剂发生反应的工序,
Figure FDA0003937760840000061
式(P-0’)中,Ar和n1与所述式(P-0)的含义相同,
Figure FDA0003937760840000062
式(TS-0’)中,X为卤素原子;R1和R2与所述式(TS-0)的含义相同,
Figure FDA0003937760840000071
式(TS-1’)中,X为卤素原子;R1与所述式(TS-1)的含义相同。
18.一种产酸剂,其包含权利要求1~10中任一项所述的化合物。
19.一种组合物,其包含权利要求18所述的产酸剂。
20.根据权利要求19所述的组合物,其还含有溶剂。
21.根据权利要求19或20所述的组合物,其还含有酸交联剂。
22.根据权利要求19~21中任一项所述的组合物,其为光刻用下层膜形成用组合物。
23.根据权利要求22所述的组合物,其还含有含硅化合物。
24.一种下层膜,其由权利要求22或23所述的组合物形成。
25.一种图案形成方法,其包括:
使用权利要求22或23所述的组合物来形成抗蚀剂下层膜的工序;
在所述抗蚀剂下层膜上形成至少1层光致抗蚀层的工序;以及
对所述光致抗蚀层的规定区域照射辐射线、进行显影的工序。
26.根据权利要求19~21中任一项所述的组合物,其为光学物品形成用组合物。
27.一种光学物品,其由权利要求26所述的组合物形成。
CN202180034592.7A 2020-05-11 2021-05-10 化合物及其制造方法、产酸剂、组合物、抗蚀膜、下层膜、图案形成方法和光学物品 Pending CN115605458A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2020083107 2020-05-11
JP2020-083105 2020-05-11
JP2020083105 2020-05-11
JP2020-083107 2020-05-11
PCT/JP2021/017656 WO2021230184A1 (ja) 2020-05-11 2021-05-10 化合物及びその製造方法、酸発生剤、組成物、レジスト膜、下層膜、パターン形成方法、及び光学物品

Publications (1)

Publication Number Publication Date
CN115605458A true CN115605458A (zh) 2023-01-13

Family

ID=78525885

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180034592.7A Pending CN115605458A (zh) 2020-05-11 2021-05-10 化合物及其制造方法、产酸剂、组合物、抗蚀膜、下层膜、图案形成方法和光学物品

Country Status (6)

Country Link
US (1) US20230185191A1 (zh)
JP (1) JPWO2021230184A1 (zh)
KR (1) KR20230009399A (zh)
CN (1) CN115605458A (zh)
TW (1) TW202200542A (zh)
WO (1) WO2021230184A1 (zh)

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010066380A1 (en) * 2008-12-12 2010-06-17 Bayer Schering Pharma Aktiengesellschaft Triaryl-sulphonium compounds, kit and methods for labeling positron emitting isotopes
CN102603587A (zh) * 2011-01-03 2012-07-25 锦湖石油化学株式会社 锍化合物、光致产酸剂及其制备方法
WO2016030329A1 (en) * 2014-08-24 2016-03-03 MAX-PLANCK-Gesellschaft zur Förderung der Wissenschaften e.V. Method for the production of 18f-labeled active esters and their application exemplified by the preparation of a psma-specific pet-tracer
CN106977433A (zh) * 2016-01-11 2017-07-25 财团法人工业技术研究院 芳香硫醚或其盐类的制备方法
CN108147983A (zh) * 2016-12-05 2018-06-12 中国科学院化学研究所 一类硫鎓盐键合苯多酚型分子玻璃光刻胶及其制备方法和应用
WO2019208762A1 (ja) * 2018-04-27 2019-10-31 三菱瓦斯化学株式会社 レジスト下層膜形成用組成物、リソグラフィー用下層膜、及びパターン形成方法
CN110908242A (zh) * 2018-09-18 2020-03-24 信越化学工业株式会社 抗蚀剂组合物和图案化方法
JP2020046661A (ja) * 2018-09-18 2020-03-26 信越化学工業株式会社 レジスト材料及びパターン形成方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1739485B1 (en) 2004-04-15 2016-08-31 Mitsubishi Gas Chemical Company, Inc. Resist composition
JP4858136B2 (ja) 2006-12-06 2012-01-18 三菱瓦斯化学株式会社 感放射線性レジスト組成物
JP5446118B2 (ja) 2007-04-23 2014-03-19 三菱瓦斯化学株式会社 感放射線性組成物
JP6119544B2 (ja) 2013-10-04 2017-04-26 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
JP6196897B2 (ja) 2013-12-05 2017-09-13 東京応化工業株式会社 ネガ型レジスト組成物、レジストパターン形成方法及び錯体

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010066380A1 (en) * 2008-12-12 2010-06-17 Bayer Schering Pharma Aktiengesellschaft Triaryl-sulphonium compounds, kit and methods for labeling positron emitting isotopes
CN102603587A (zh) * 2011-01-03 2012-07-25 锦湖石油化学株式会社 锍化合物、光致产酸剂及其制备方法
WO2016030329A1 (en) * 2014-08-24 2016-03-03 MAX-PLANCK-Gesellschaft zur Förderung der Wissenschaften e.V. Method for the production of 18f-labeled active esters and their application exemplified by the preparation of a psma-specific pet-tracer
CN106977433A (zh) * 2016-01-11 2017-07-25 财团法人工业技术研究院 芳香硫醚或其盐类的制备方法
CN108147983A (zh) * 2016-12-05 2018-06-12 中国科学院化学研究所 一类硫鎓盐键合苯多酚型分子玻璃光刻胶及其制备方法和应用
WO2019208762A1 (ja) * 2018-04-27 2019-10-31 三菱瓦斯化学株式会社 レジスト下層膜形成用組成物、リソグラフィー用下層膜、及びパターン形成方法
CN110908242A (zh) * 2018-09-18 2020-03-24 信越化学工业株式会社 抗蚀剂组合物和图案化方法
JP2020046661A (ja) * 2018-09-18 2020-03-26 信越化学工業株式会社 レジスト材料及びパターン形成方法

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
HIROAKI OIZUMI 等: "Performance of EUV molecular resists based on fullerene derivatives", ADVANCES IN RESIST MATERIALS AND PROCESSING TECHNOLOGY XXVIII,PROC. OF SPIE, vol. 7972, 15 April 2011 (2011-04-15), pages 4 *
ICHIKI TAKEMOTO 等: "Molecular resists for EUV and EB lithography", ADVANCES IN RESIST MATERIALS AND PROCESSING TECHNOLOGY XXV PROC. OF SPIE, vol. 6923, 26 March 2008 (2008-03-26), pages 1 *
NIAN-FA YANG 等: "SYNTHESES AND ACTIVE BEHAVIOR OF NOVEL UV PHOTOINITIATORS", CHINESE JOURNAL OF POLYMER SCIENCE, vol. 27, no. 6, 20 November 2009 (2009-11-20), pages 876 *

Also Published As

Publication number Publication date
JPWO2021230184A1 (zh) 2021-11-18
KR20230009399A (ko) 2023-01-17
US20230185191A1 (en) 2023-06-15
WO2021230184A1 (ja) 2021-11-18
TW202200542A (zh) 2022-01-01

Similar Documents

Publication Publication Date Title
TWI669353B (zh) 金屬硬遮罩組合物及在半導體基板上形成精細圖案之方法
US7439302B2 (en) Low refractive index polymers as underlayers for silicon-containing photoresists
JP5821694B2 (ja) レジスト下層膜形成用組成物及びパターン形成方法
US8722307B2 (en) Near-infrared absorptive layer-forming composition and multilayer film comprising near-infrared absorptive layer
WO2017111165A1 (ja) 化合物、樹脂、組成物、レジストパターン形成方法、及び、回路パターン形成方法
JP2022033731A (ja) 化合物、樹脂、組成物並びにレジストパターン形成方法及び回路パターン形成方法
JP2017516137A (ja) 反射防止コーティング組成物およびその製造方法
TWI485525B (zh) 供微影蝕刻應用之近紅外線吸收膜組成物
WO2007097457A1 (ja) 反射防止膜形成用組成物および反射防止膜
EP1811342B1 (en) Use of a sulfur-atom-containing composition for forming of lithographic antireflection film
JP2008015223A (ja) スルホンを含有するレジスト下層膜形成組成物
JP2022130463A (ja) 化合物、樹脂、組成物、並びにレジストパターン形成方法及び回路パターン形成方法
CN116194502A (zh) 聚合物、组合物、聚合物的制造方法、组合物、膜形成用组合物、抗蚀剂组合物、辐射敏感组合物、光刻用下层膜形成用组合物、抗蚀图案形成方法、光刻用下层膜的制造方法、电路图案形成方法、及光学构件形成用组合物
WO2020226150A1 (ja) 化合物及びその製造方法、樹脂、組成物、レジスト膜、パターン形成方法、リソグラフィー用下層膜、光学部品、並びに化合物又は樹脂の精製方法
WO2020241492A1 (ja) トリアジン骨格を有するプレポリマー、これを含む組成物、レジストパターン形成方法、回路パターン形成方法、及び当該プレポリマーの精製方法
WO2020158931A1 (ja) 化合物、樹脂、組成物、レジストパターン形成方法、回路パターン形成方法及び樹脂の精製方法
CN115605458A (zh) 化合物及其制造方法、产酸剂、组合物、抗蚀膜、下层膜、图案形成方法和光学物品
WO2021230185A1 (ja) 化合物及びその製造方法、組成物、レジスト膜、並びにパターン形成方法
KR101863634B1 (ko) 자가 가교형 고분자, 이를 포함하는 레지스트 하층막 조성물 및 이를 이용한 패턴 형성 방법
TWI843730B (zh) 化合物、樹脂、組成物、阻劑圖型形成方法、電路圖型形成方法及樹脂之精製方法
CN112218844B (zh) 化合物、树脂、组合物、抗蚀图案形成方法、电路图案形成方法和树脂的纯化方法
WO2023214589A1 (ja) テルルを含有するポリマーおよび化合物
WO2021049472A1 (ja) 化合物、樹脂、組成物、レジスト膜、パターン形成方法、下層膜、及び光学物品
CN116710500A (zh) 聚合物、组合物、聚合物的制造方法、膜形成用组合物、抗蚀剂组合物、抗蚀图案形成方法、辐射敏感组合物、光刻用下层膜形成用组合物、光刻用下层膜的制造方法、电路图案形成方法、光学构件形成用组合物
EP1825325A1 (en) Low refractive index polymers as underlayers for silicon-containing photoresists

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination