CN114503277A - 具有增强的高迁移率沟道元件的高性能纳米片制造方法 - Google Patents

具有增强的高迁移率沟道元件的高性能纳米片制造方法 Download PDF

Info

Publication number
CN114503277A
CN114503277A CN202080067957.1A CN202080067957A CN114503277A CN 114503277 A CN114503277 A CN 114503277A CN 202080067957 A CN202080067957 A CN 202080067957A CN 114503277 A CN114503277 A CN 114503277A
Authority
CN
China
Prior art keywords
nanochannels
type transistor
transistor
region
regions
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080067957.1A
Other languages
English (en)
Inventor
马克·I·加德纳
H·吉姆·富尔福德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN114503277A publication Critical patent/CN114503277A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

一种半导体器件包括形成在衬底上方的第一晶体管对。第一晶体管对包括堆叠在彼此上方的n型晶体管和p型晶体管。n型晶体管具有第一沟道区,该第一沟道区包括具有第一带隙值的一个或多个第一纳米沟道。该一个或多个第一纳米沟道沿着该衬底横向延伸、堆叠在该衬底上方并彼此间隔开。p型晶体管具有第二沟道区,该第二沟道区包括由化合物材料制成的一个或多个第二纳米沟道,该化合物材料具有基于该化合物材料的预定材料比的第二带隙值。该一个或多个第二纳米沟道沿着衬底横向延伸、堆叠在该衬底上方并彼此间隔开。

Description

具有增强的高迁移率沟道元件的高性能纳米片制造方法
相关申请
本申请要求于2019年10月3日提交的名称为“High Performance NanosheetFabrication Method with Enhanced High Mobility Channel Elements[具有增强的高迁移率沟道元件的高性能纳米片制造方法]”的美国非临时专利申请序列号16/592,580的优先权,该美国非临时专利申请通过引用以其整体并入本文。
技术领域
本披露内容涉及使用多个选择性纳米沟道来制造3D晶体管,以在不同器件区域(例如NMOS、PMOS)中制造。选择具有最高迁移率的元件可以实现每硅面积更大的驱动电流。本披露内容提供了一种制造锗(Ge)和锗锡(GeSn)纳米平面以实现这种高性能的方法。
背景技术
在半导体器件的生产期间,会执行比如成膜沉积、刻蚀掩模创建、图案化、光阻显影、材料刻蚀和去除以及掺杂处理等各种制造工艺。重复执行这些工艺以在衬底上形成期望的半导体器件元件。从历史上看,已经利用微细加工在一个平面上创建晶体管,并在上方形成接线/金属化层,并且因此,这被表征为二维(2D)电路或2D制作。虽然微缩工作已经极大地增加了2D电路中每单位面积的晶体管数量,但是随着微缩进入纳米级半导体器件制造节点,微缩工作也将面临更大的挑战。半导体器件加工商已经表达出对晶体管堆叠在彼此的顶部之上的三维(3D)半导体器件的期望。3D半导体器件的制造提出了许多与微缩、制造后处理以及3D制造工艺的其他方面相关联的新颖独特的挑战。
发明内容
在本披露内容中,提供了互补场效应晶体管(CFET)器件。CFET器件是三维堆叠的逻辑晶体管,其中,NMOS或PMOS晶体管位于其互补者顶上。NMOS或PMOS晶体管可以具有包括一个或多个纳米线或纳米片的沟道区。纳米线或纳米片可以彼此间隔开并沿着衬底横向形成。NMOS或PMOS晶体管可以具有位于纳米线或纳米片两端的源极/漏极区。可以形成栅极结构以围绕NMOS和PMOS的沟道区。
由于功能上的缩放一直在缩小纳米线和/或纳米片的尺寸以实现面积缩放,因此所面临的重大挑战是给定器件的驱动电流。通过在NMOS和PMOS沟道中加入不同的材料以在纳米线和/或纳米片上提供所需的应变,可以改善驱动电流并改善对互补场效应晶体管(CFET)器件的控制。
本文的技术提供了互补FET(场效应晶体管)器件的单元架构、设计概念、以及对应制造方法,这些器件在NMOS和PMOS沟道中具有不同材料以在纳米线和/或纳米片上提供最高迁移率。
当然,本文所披露的制造步骤的顺序是为了清楚起见而呈现的。通常,这些制造步骤可以以任何合适的顺序执行。另外地,尽管可能在本披露内容的不同地方讨论了本文中的不同特征、技术、配置等中的每一个,但是应当注意,可以彼此独立地或彼此组合地执行每个概念。相应地,可以以许多不同的方式来实施和查看本披露内容。
应当注意,本发明内容部分未指定本披露内容或所要求保护的发明的每个实施例和/或递增的新颖方面。相反,本发明内容仅提供了对不同实施例以及与常规技术相比的新颖性对应点的初步讨论。对于本发明和实施例的附加细节和/或可能的观点而言,读者应查阅如以下进一步讨论的本披露内容的具体实施方式部分和相应附图。
根据本披露内容的一方面,提供了一种半导体器件。该器件具有形成在衬底上方的第一晶体管对。第一晶体管对包括堆叠在彼此上方的n型晶体管和p型晶体管。n型晶体管具有第一沟道区,该第一沟道区包括具有第一带隙值的一个或多个第一纳米沟道。该一个或多个第一纳米沟道沿着该衬底横向延伸、堆叠在该衬底上方并彼此间隔开。p型晶体管具有第二沟道区,该第二沟道区包括由化合物材料制成的一个或多个第二纳米沟道,该化合物材料具有基于该化合物材料的预定材料比的第二带隙值。该一个或多个第二纳米沟道沿着衬底横向延伸、堆叠在该衬底上方并彼此间隔开。第一沟道区中的一个或多个第一纳米沟道中的每一个和第二沟道区中的一个或多个第二纳米沟道中的每一个分别被栅极结构围绕。
在一些实施例中,该一个或多个第一纳米沟道包括硅或锗中的至少一种。该化合物材料包括锗锡(GeSn),使得该预定材料比包括在该GeSn中1%至10%之间的Sn含量。
在一些实施例中,一个或多个第一纳米沟道可以具有N个第一纳米沟道,其中N为从一到十的整数。类似地,一个或多个第二纳米沟道可以包括M个第二纳米沟道,其中M为从一到十的整数。本文的纳米沟道是指场效应晶体管的纳米线状或纳米片状沟道。
在所披露的器件中,n型晶体管进一步包括由掺杂磷的第一材料制成的第一源极/漏极(S/D)区和第二S/D区。该n型晶体管的第一S/D区和第二S/D区位于一个或多个第一纳米沟道的两端,并且与该一个或多个第一纳米沟道直接接触。另外,p型晶体管进一步包括由掺杂硼的第二材料制成的第一源极/漏极(S/D)区和第二S/D区。该p型晶体管的第一S/D区和第二S/D区位于一个或多个第二纳米沟道的两端,并且与该一个或多个第二纳米沟道直接接触。
在一些实施例中,第一材料可以包括硅。第二材料可以包括GeSi、Ge、GePt、GeSiSn、GePtSi、GePt、SiGePt、SiGeSn或SiGeSnPt中的至少一种。
在一些实施例中,n型晶体管堆叠在p型晶体管上方。在一些实施例中,p型晶体管堆叠在n型晶体管上方。
该器件可以具有形成在衬底上方的第二晶体管对。第二晶体管包括n型晶体管和p型晶体管。该第二晶体管对位于该第一晶体管对的第一侧。该第二晶体管对的n型晶体管的n沟道区耦合到该第一晶体管对的n型晶体管的第一S/D区,该第二晶体管对的p型晶体管的p沟道区耦合到该第一晶体管对的p型晶体管的第一S/D区。该器件可以进一步具有形成在衬底上方的第三晶体管对。第三晶体管对包括n型晶体管和p型晶体管。该第三晶体管对可以位于该第一晶体管对的第二侧。该第三晶体管对的n型晶体管的n沟道区耦合到该第一晶体管对的n型晶体管的第二S/D区,该第三晶体管对的p型晶体管的p型沟道区耦合到该第一晶体管对的p型晶体管的第二S/D区。
根据本披露内容的另一方面,提供了一种用于形成半导体的方法。在所披露的方法中,在衬底上方形成外延层堆叠。该外延层堆叠包括多个中间层、具有第一带隙值的一个或多个第一纳米层、以及一个或多个第二纳米层。该一个或多个第二纳米层由化合物材料制成,该化合物材料具有基于该化合物材料的预定材料比的第二带隙值。该一个或多个第一纳米层位于该一个或多个第二纳米层上方并且通过该多个中间层中的一个或多个与该一个或多个第二纳米层间隔开。该一个或多个第一纳米层通过该多个中间层中的一个或多个彼此间隔开。该一个或多个第二纳米层通过该多个中间层中的一个或多个彼此间隔开。
在所披露的方法中,随后可以在外延层堆叠中形成多个沟槽。该外延层堆叠可以被该多个沟槽分隔成多个子堆叠,使得该一个或多个第一纳米层被分隔成多个第一纳米沟道,该一个或多个第二纳米层被分隔成多个第二纳米沟道,并且该多个子堆叠中的每一个包括一个或多个第一纳米沟道和一个或多个第二纳米沟道。进一步地,可以使该多个中间层凹陷,使得该多个子堆叠中的每一个中的一个或多个第一纳米沟道和一个或多个第二纳米沟道从该多个中间层的侧壁突出。进一步地,然后可以在该多个沟槽中形成多个底部源极/漏极(S/D)区。该多个底部S/D区由第二材料制成并且与该多个第二纳米沟道直接接触。在该多个底部S/D区上方,可以在该多个沟槽中形成多个顶部源极/漏极(S/D)区。该多个底部S/D区由第一材料制成并且与该多个第一纳米沟道直接接触。
在一些实施例中,该多个中间层包括位于该衬底上并且由SiGe制成的底层,以及位于该底层上方的多个上层。SiGe可以具有在80%至100%之间的Ge含量。上层可以包括与纳米层相对应的Ge、Si、SiGe或GeSn中的至少一种。
在一些实施例中,该一个或多个第一纳米层包括硅(Si)或锗(Ge)中的至少一种。该化合物材料可以包括锗锡(GeSn),使得预定材料比包括从1%到10%的Sn含量。在一些实施例中,该一个或多个第二纳米层可以由Ge制成。
所披露的方法进一步包括去除位于该多个子堆叠中的每一个中的中间层,以及在该多个子堆叠中的每一个中形成多个栅极结构,使得该多个栅极结构中的每一个在相应子堆叠中围绕该一个或多个第一纳米沟道以及该一个或多个第二纳米沟道。
根据本披露内容的又另一方面,提供了一种半导体器件。所披露的器件包括多个晶体管对,该多个晶体管对形成在衬底上方、并排布置并且彼此耦合,其中,该多个晶体管对中的每一对包括堆叠在彼此上方的n型晶体管和p型晶体管。
该n型晶体管具有第一沟道区,该第一沟道区包括由第一化合物材料制成的一个或多个第一纳米沟道,该第一化合物材料具有基于该第一化合物材料的第一预定材料比的第一带隙值,其中,该一个或多个第一纳米沟道沿着该衬底横向延伸、堆叠在该衬底上方并彼此间隔开。该p型晶体管具有第二沟道区,该第二沟道区包括由第二化合物材料制成的一个或多个第二纳米沟道,该第二化合物材料具有基于该第二化合物材料的第二预定材料比的第二带隙值,其中,该一个或多个第二纳米沟道沿着该衬底横向延伸、堆叠在该衬底上方并彼此间隔开。该n型晶体管的第一沟道区中的一个或多个第一纳米沟道中的每一个和该p型晶体管的第二沟道区中的一个或多个第二纳米沟道中的每一个分别被栅极结构围绕。
在所披露的器件中,该n型晶体管包括第一源极/漏极(S/D)区及第二S/D区。该n型晶体管的第一S/D区和第二S/D区位于一个或多个第一纳米沟道的两端,并且与该一个或多个第一纳米沟道直接接触。该n型晶体管的第一S/D区和第二S/D区中的至少一个耦合到相邻n型晶体管的第一沟道区。该p型晶体管进一步包括第一源极/漏极(S/D)区及第二S/D区。该p型晶体管的第一S/D区和第二S/D区位于一个或多个第二纳米沟道的两端,并且与该一个或多个第二纳米沟道直接接触。该p型晶体管的第一S/D区和第二S/D区中的至少一个耦合到相邻p型晶体管的第二沟道区。
在一些实施例中,该第一化合物材料包括第一锗锡(GeSn),使得该第一预定材料比包括在1%至6%之间的Sn含量。该第二化合物材料包括第二锗锡(GeSn),使得该第二预定材料比包括在1%至10%之间的Sn含量。
在本披露内容中,提供了一种新颖的器件结构,其中可以将不同的材料应用于CFET器件中的n型晶体管和p型晶体管。不同的材料分别提供了n型晶体管和p型晶体管的沟道区中的适当载流子迁移率。例如,Ge或GeSn可以应用于n型晶体管的沟道区中,其在沟道区中提供比Si沟道区更大的电子迁移率。类似地,Ge或GeSn可以应用于p型晶体管的沟道区中,与传统的Si沟道区相比,其可以增强沟道区的空穴迁移率。因此,由于迁移率的增强,NMOS和PMOS可以实现更高效的Idsat(饱和电流)。
进一步地,n型晶体管或p型晶体管中的沟道区的带隙可以通过改变GeSn中的Sn含量来调整。具有不同Sn的GeSn可以导致不同的带隙,并因此可以调整载流子的迁移率以满足器件要求。
在所披露的器件中,可以为每个CFET创建构建块以更好地优化CFET的性能。在第一示例中,可以将Si沟道应用于NMOS并将GeSn沟道应用于PMOS,这会导致标准性能的NMOS和高性能的PMOS。在第二示例中,可以将Ge沟道应用于NMOS并且可以将Ge沟道应用于PMOS,这会导致高性能的NMOS和高性能的PMOS。在第三示例中,可以将GeSn沟道应用于NMOS并且可以将GeSn沟道应用于PMOS,这会导致高性能的NMOS和更高性能的PMOS。
进一步地,晶体管架构可以实现N=1至N=>10个晶体管纳米沟道平面,具体取决于电路要求。可以针对NMOS和PMOS器件的刻蚀选择性来限定纳米沟道中的不同材料(例如,Si、Ge或GeSn)。
在本披露内容中,还提供了一种新颖的方法来制造所披露的器件。在所披露的方法中,可以形成外延层堆叠,其中可以设置n型晶体管和p型晶体管的沟道区。外延层堆叠可以包括多个沟道层(例如,Si、Ge或GeSn)和多个中间层。中间层形成在沟道层之间,使得沟道层彼此间隔开。通过在形成中间层期间与沟道层相对应地选择适当的材料(例如,Ge、Si、SiGe或GeSn),可以比沟道层更快地刻蚀中间层。沟道层相应地从中间层的侧壁突出,并用作沟道区。与相关的CFET工艺流程相比,所披露的方法并不需要用于工艺步骤(例如,形成沟道区)的新掩模。
附图说明
当与附图一起阅读时,从以下详细描述中最好地理解本披露内容的方面。注意,根据行业中的标准实践,各种特征未按比例绘制。事实上,为了讨论的清楚起见,各种特征的尺寸可以被任意增大或减小。
图1A是根据一些实施例的CFET器件的第一截面视图。
图1B是根据一些实施例的CFET器件的第二截面视图。
图1C是根据一些实施例的CFET器件的第三截面视图。
图2A是根据一些实施例的CFET器件中的n型晶体管的栅极结构的放大视图。
图2B是根据一些实施例的CFET器件中的p型晶体管的栅极结构的放大视图。
图3至图8是根据一些实施例的制造CFET器件的各个示例性中间步骤的截面视图。
具体实施方式
以下披露内容提供了用于实施所提供的主题的不同特征的许多不同的实施例或示例。以下描述了部件和布置的特定示例以简化本披露内容。当然,这些仅是示例,并且不旨在进行限制。另外,本披露内容可能会在各个示例中重复使用附图标记和/或字母。该重复是出于简单和清楚的目的,并且其本身并不指示所讨论的各个实施例和/或配置之间的关系。
进一步地,为了便于描述,在本文中可以使用诸如“之下”、“下方”、“下部”、“上方”、“上部”等空间相关的术语来描述如附图中所展示的一个元素或特征与一个或多个其他元素或特征的关系。除了在附图中所描绘的取向之外,空间相关的术语还旨在涵盖装置在使用或操作中的不同取向。可以以其他方式定向该装置(旋转90度或处于其他取向),并且相应地可以以类似的方式解释本文使用的空间相关的描述符。
在整个本说明书中对“一个实施例”或“实施例”的提及意味着与实施例相结合描述的特定特征、结构、材料、或特性包括在至少一个实施例中,但是不表示它们存在于每个实施例中。因此,在整个本说明书中各处出现的短语“在一个实施例中”不一定指代同一个实施例。此外,在一个或多个实施例中,可以以任何合适的方式来组合特定特征、结构、材料或特性。
本披露内容涉及使用多个选择性纳米沟道来制造3D晶体管,以在不同器件区域(即,NMOS、PMOS)中制造。通过改变纳米沟道材料结构,可以提高晶体管的性能。
图1A示出了在沟道区中具有不同材料的CFET器件100的第一截面视图。图1A示出了CFET 100的第一实施例。如图1A所示,提供了CFET器件100。CFET器件100可以包括形成在衬底(未示出)上方的多个晶体管对。例如,器件100中可以包括四个晶体管对102-108。这些晶体管对中的每一对可以包括堆叠在彼此上方的n型晶体管和p型晶体管。在一些实施例中,n型晶体管位于p型晶体管上方。在一些实施例中,p型晶体管位于n型晶体管上方。在图1A的实施例中,n型晶体管位于p型晶体管的上方。例如,晶体管对102具有n型晶体管102A和p型晶体管102B。n型晶体管102A位于p型晶体管102B上方。
在所披露的器件100中,n型晶体管可以具有第一沟道区,该第一沟道区包括N个第一纳米沟道,其中N可以是从一到十的整数。p型晶体管可以具有第二沟道区,该第二沟道区包括M个第二纳米沟道,其中M可以是从一到十的整数。该第一纳米沟道和第二纳米沟道可以沿着衬底的顶表面(未示出)横向形成且平行布置。第一纳米沟道可以彼此间隔开。第二纳米沟道也可以彼此间隔开。例如,如图1A所示,n型晶体管102A可以包括两个彼此间隔开的第一纳米沟道110和112。p型晶体管102B可以包括两个也彼此间隔开的第二纳米沟道114和116。在一些实施例中,第一沟道区和第二沟道区可以由具有不同带隙的不同材料制成。例如,第一沟道区可以由在300K下具有大约1.11eV的固定带隙的硅制成。第二沟道区可以由化合物材料制成,如Sn含量在1%至10%之间的锗锡(GeSn)。通过改变Sn含量,GeSn可以具有可调节的带隙。例如,当Sn为10%时,GeSn可以具有约0.5eV的带隙,而当Sn为2%时,GeSn可以具有约0.75eV的带隙。
本文的纳米沟道是指场效应晶体管的纳米线状或纳米片状沟道。纳米线是相对较小的细长结构,其形成为具有大致圆形(circular/rounded)的截面。纳米线通常由被图案刻蚀为形成具有大致正方形截面的沟道的层形成,然后该正方形截面结构的拐角被圆化(诸如被刻蚀)以形成圆柱形结构。纳米片类似于纳米线,它的截面相对较小(小于一微米并且通常小于30纳米),但是截面是矩形的。给定的纳米片可以包括圆角。
在纳米沟道(线或片)的形成或加工期间的至少一个时间点期间,给定的纳米沟道的所有侧(包括底侧)都未被覆盖。这与“平面”晶体管沟道不同,“平面”晶体管沟道通常至少有一侧位于体硅(或其他材料)上,并且该侧在微制造期间始终保持被(与之接触的另一种材料)覆盖。平面沟道使栅极结构能够基本上接触一侧或两侧或三侧,但不是所有侧或表面。相比之下,纳米线和纳米片实现了全环绕栅极(GAA)沟道。因此,本文的纳米沟道可以具有各种截面,但能够在沟道结构周围形成栅极。
该n型晶体管可以进一步包括位于该第一沟道区两端且与该第一沟道区直接接触的第一源极/漏极(S/D)区及第二S/D区。在一些实施例中,n型晶体管的第一S/D区和第二S/D区可以由掺杂磷的第一材料制成。例如,第一材料可以是硅。该p型晶体管可以包括位于该第二沟道区两端且与该第二沟道区直接接触的第一S/D区及第二S/D区。在一些实施例中,p型晶体管的第一S/D区和第二S/D区可以由第二材料制成。第二材料可以包括GeSi、Ge、GePt、GeSiSn、GePtSi、GePt、SiGePt、SiGeSn或SiGeSnPt。例如,如图1A所示,n型晶体管102A可以具有第一S/D区126和第二S/D区128,它们位于该第一纳米沟道110及112的两端且与第一纳米沟道110和112直接接触。p型晶体管102B具有第一S/D区130和第二S/D区132,它们位于第二纳米沟道114和116的两端且与第二纳米沟道114和116直接接触。
n型晶体管和p型晶体管也可以分别具有栅极结构。可以形成栅极结构以围绕沟道区。例如,n型晶体管可以具有分别围绕第一纳米沟道110和112的栅极结构118和120。p型晶体管可以具有分别围绕第二纳米沟道114和116的栅极结构122和124。
在所披露的器件100中,多个晶体管对可以并排形成且彼此耦合。例如,晶体管对102耦合到晶体管对104,其中晶体管对102中的n型晶体管102A的第二S/D区128可以用作晶体管对104中的n型晶体管104A的第一S/D区,并且耦合至n型晶体管104A的第一沟道区。类似地,晶体管对102中的p型晶体管102B的第二S/D区132可以用作晶体管对104中的p型晶体管104B的第一S/D区,并且耦合至p型晶体管104B的第二沟道区。
图1B示出了在沟道区中具有不同材料的CFET器件100的第二截面视图。图1B示出了CFET 100的第二实施例。如图1B所示,n型晶体管的第一纳米沟道(例如,110和112)由Ge制成,并且p型晶体管的第二纳米沟道(例如,114和116)由Ge制成。图1C示出了在沟道区中具有不同材料的CFET器件100的第三截面视图。图1C示出了CFET 100的第三实施例。如图1C所示,n型晶体管的第一纳米沟道(例如,110和112)由第一化合物材料制成,如Sn含量在1%至6%之间的GeSn,并且p型晶体管的第二纳米沟道(例如,114和116)由第二化合物材料制成,如Sn含量在1%至10%之间的GeSn。
图2A是n型晶体管102A的栅极结构的放大视图。如图2A所示,n型晶体管102A的沟道区可以包括两个第一纳米沟道110和112。第一纳米沟道中的每一个可以具有围绕纳米沟道的相应栅极结构。例如,第一纳米沟道110可以具有围绕的栅极结构118,并且第一纳米沟道112可以具有围绕的栅极结构120。栅极结构118可以包括第一电介质层202,该第一电介质层围绕第一纳米沟道110并且与第一纳米沟道110直接接触。栅极结构118可以具有形成在第一电介质层202上方的第二电介质层204。栅极结构118还可以具有位于第二电介质层204上方的金属栅极堆叠208。在一些实施例中,第一电介质层202可以是界面氧化物层,如SiO2。第二电介质层204可以是高k层,如HfO2,并且金属栅极堆叠208可以包括位于第二电介质层204上方的TiC层。
图2B是p型晶体管102B的栅极结构的放大视图。如图2B所示,p型晶体管102B的沟道区可以包括两个第二纳米沟道114和116。第二纳米沟道中的每一个可以具有围绕第二纳米沟道的相应栅极结构。例如,第二纳米沟道114可以具有围绕的栅极结构122,并且第二纳米沟道116可以具有围绕的栅极结构124。栅极结构122可以包括第一电介质层210,该第一电介质层围绕第二纳米沟道114并且与第二纳米沟道114直接接触。栅极结构122可以具有形成在第一电介质层210上方的第二电介质层212。栅极结构122还可以具有位于第二电介质层212上方的金属栅极堆叠216。在一些实施例中,第一电介质层210可以是界面氧化物层,如SiO2。第二电介质层212可以是高k层,如HfO2、Al2O3、Y2O3、ZrO2等。金属栅极堆叠216可以包括位于第二电介质层212上方的TiC层、位于TiN层上方的TaN层、位于TaN层上方的TiON层、以及位于TaN层上方的TiC层。
应注意的是,图2A和图2B仅是器件100中栅极结构的示例。该栅极结构可以进一步包括栅极电极。栅极电极可以包括形成在金属栅极堆叠上方的TiN衬垫,以及形成在TiN衬垫上方的导电层(例如钨、钴)。另外,根据器件设计,栅极结构可以包括比图2A和图2B所示的层更少或更多的层。
图3至图8是根据一些实施例的制造CFET器件的各个示例性中间步骤的截面视图。如图3所示,可以在衬底302上方形成外延层堆叠300。外延层堆叠300可以包括多个中间层304a-304f、一个或多个第一纳米层308a-308b和一个或多个第二纳米层306a-306b。第一纳米层308a-308b可以位于第二纳米层306a-306b上方,并且通过一个或多个中间层与第二纳米层间隔开。例如,第一纳米层308a-308b和第二纳米层306a-306b被中间层304d分隔开。第一纳米层可以通过一个或多个中间层而彼此间隔开。如图3所示,第一纳米层308a-308b通过中间层304e间隔开。第二纳米层306a-306b可以通过一个或多个中间层而彼此间隔开。例如,如图3所示,第二纳米层306a-306b被中间层304c间隔开。
在一些实施例中,中间层可以包括位于衬底上的底层以及位于底层上方的多个上层。如图3所示,可以在衬底302上形成底层304a。底层可以由Ge含量在80%至100%之间的SiGe制成。当Ge含量为100%时,底层实际上由Ge制成。多个上层304b-304f可以位于底层304a上方。在一些实施例中,外延层堆叠300可以进一步包括氧化物盖层310,该氧化物盖层被配置用于在随后的制造工艺期间保护下覆层。
在本披露内容中,可以与沟道层相对应地选择适合于上层的材料,以实现上层与沟道层之间的刻蚀选择性,使得可以比沟道层更快地刻蚀中间层。在第一示例中,上层304b-304f可以由Ge制成,第二纳米层306a和306b可以由Sn含量在1%至10%之间的GeSn制成,并且第一纳米层308a和308b可以由Si制成。在第二示例中,上层304b-304f可以由Si制成,第二纳米层306a和306b可以由Ge制成,并且第一纳米层308a和308b可以由Ge制成。在第三示例中,上层304b-304f可以由SiGe制成,第二纳米层306a和306b可以由Ge制成,并且第一纳米层308a和308b可以由Ge制成。在第四示例中,上层304b-304f可以由GeSn制成,第二纳米层306a和306b可以由Ge制成,并且第一纳米层308a和308b可以由Ge制成。在第五示例中,上层304b-304f可以由Ge制成,第二纳米层306a和306b可以由Sn含量在1%至10%之间的GeSn制成,并且第一纳米层308a和308b可以由Sn含量在1%至6%之间的GeSn制成。在第六示例中,上层304b-304f可以由Si制成,第二纳米层306a和306b可以由Sn含量在1%至10%之间的GeSn制成,并且第一纳米层308a和308b可以由Sn含量在1%至6%之间的GeSn制成。
可以应用任何合适的方法来形成外延层堆叠300。例如,方法可以包括化学气相沉积(CVD)、物理气相沉积(PVD)、扩散、原子层沉积(ALD)、低压CVD或其他合适的沉积方法。
在图4中,可以通过图案化技术在外延层堆叠300中形成多个沟槽。图案化技术可以包括光刻工艺和刻蚀工艺。光刻工艺可以在外延层堆叠上形成掩模堆叠,并且进一步可以在掩模堆叠中形成多个图案。刻蚀工艺可以将图案转移到外延层堆叠中以形成多个沟槽。如图4所示,可以在外延层堆叠300中形成五个沟槽402-410。外延层堆叠300可以被沟槽402-410分隔成多个子堆叠400a-400d,使得第一纳米层308a-308b被分隔成多个第一纳米沟道(例如110、112),第二纳米层306a-306b被分隔成多个第二纳米沟道(例如114和116),并且每一子堆叠包括一个或多个第一纳米沟道以及一个或多个第二纳米沟道。例如,子堆叠420a可以包括两个第一纳米沟道110和112以及两个第二纳米沟道114和116。此外,纳米沟道的两端可以暴露于沟槽中。
仍然参考图4,该掩模堆叠可以包括非晶Si(a-Si)层412(其位于氧化物盖层310上方)、SiN层(420,如图5所示)以及抗蚀层(未示出)。多个间隔物414可以沿着a-Si层412的侧壁形成。另外,多个内间隔物418可以在沟槽中形成。此外,应注意的是,可以去除底层304a并用氧化物层416取代。在一些实施例中,间隔物414可以是氧化物层,如SiO2,并且内间隔物418和氧化物层416也可以由SiO2制成。
在图5中,可以通过刻蚀工艺使多个中间层304b-304f凹陷,如通过干法刻蚀工艺或湿法刻蚀工艺。如上所述,可以与沟道层306和308相对应地选择适合于中间层304b-304f的材料,以实现中间层与沟道层之间的刻蚀选择性,使得可以比沟道层更快地刻蚀中间层。当刻蚀工艺完成时,可以去除一部分中间层,并且可以相应地使中间层的侧壁凹陷。在刻蚀工艺期间还可以刻蚀第一/第二纳米沟道,但去除的量可以是非常少的。因此,在每一子堆叠中的第一纳米沟道和第二纳米沟道可以从中间层的侧壁突出。例如,第一纳米沟道110和112可以从中间层304d-304f的侧壁突出,并且第二纳米沟道114和116可以从中间层304b-304d的侧壁突出。
在图6中,可以在沟槽402-410中形成多个底部源极/漏极(S/D)区130-138。底部S/D区130-138可以与第二纳米沟道直接接触并用作p型晶体管的S/D区。例如,底部S/D区130和132可以是图1A至图1C所示的p型晶体管102B的第一S/D区130和第二S/D区132。当形成底部S/D漏极时,可以在多个沟槽中形成多个顶部源极/漏极(S/D)区126、128和140-144。顶部S/D区可以与第一纳米沟道直接接触,并且用作n型晶体管的S/D区。例如,顶部S/D区126和128可以是图1所示的n型晶体管102A的第一S/D区126和第二S/D区128。
在一些实施例中,顶部S/D区可以由掺杂磷的第一材料制成,而底部S/D区则可以由掺杂硼的第二材料制成。第一材料可以是硅,并且第二材料可以是GeSi、Ge、GePt、GeSiSn、GePtSi、GePt、SiGePt、SiGeSn或SiGeSnPt之一。可以应用任何合适的方法来形成底部S/D区和顶部S/D区。例如,方法可以包括化学气相沉积(CVD)、物理气相沉积(PVD)、扩散、原子层沉积(ALD)、低压CVD或其他合适的沉积方法。
仍然参考图6,可以在底部S/D区上方内形成多个局部互连146a-146d。可以根据电路设计将局部互连耦合至底部S/D区或顶部S/D区。作为示例,局部互连146a-146d可以由Pt、Ru、Ti、TiN、W、Ni、Cu、CuMn、CuAl、MoW或这些金属的堆叠/组合制成。另外,可以在底部S/D区与衬底(未示出)之间形成多个底部氧化物层148a-148e,可以在顶部S/D区上方形成多个顶部氧化物层149a-149e,并且多个中间氧化物层150a-150e可以位于底部S/D区与顶部S/D区之间,以将底部S/D区和顶部S/D区彼此分隔开。应注意的是,在形成底部S/D区和顶部S/D区的期间可以去除内间隔物418,并且当完成底部S/D区和顶部S/D区的形成时可以形成氧化物层152。另外,可以在底部S/D区上方形成多个底盖层156,并且可以在顶部S/D区上方形成多个顶盖层154以防止掺杂剂损失。在一些实施例中,顶部盖层154和156可以由氧化物或氮化物制成并且通过选择性ALD沉积形成。
在图7中,可以应用刻蚀工艺以去除中间层,从而可以形成多个沟槽700a-700f。当形成沟槽700时,第一纳米沟道和第二纳米沟道相应地暴露在沟槽700中。刻蚀工艺可以是干法刻蚀工艺或湿法刻蚀工艺。刻蚀工艺可以是选择性刻蚀,其优选地去除中间层并以非常少的量刻蚀第一/第二纳米沟道。如上所述,中间层可以由具有比纳米沟道更高的刻蚀速率的适当材料制成。因此,可以选择性地去除中间层。
在一些实施例中,在纳米沟道释放/暴露之后,可以在小于400℃的加工温度下运行混合气体退火。退火工艺被配置为对纳米沟道进行退火,以增强表面特性并减少任何位错。
在图8中,可以通过沉积多个电介质层以及金属栅极堆叠到沟槽700来形成多个栅极结构,如栅极结构118-124。可以沉积电介质层和金属堆叠以围绕第一/第二纳米沟道并用作栅极结构。类似于图2A和图2B,电介质层可以包括形成在纳米沟道上的第一电介质层以及是高k层且形成在第一电介质层上方的第二电介质层。在本披露内容中,可以沉积适当的高k层以与金属栅极相容以获得最佳的逸出功和与SiO2(例如,第一电介质层)的堆叠组合以及其他电介质组合。第二电介质层可以是由Al2O3、Y2O3、HfO2、ZrO2等制成的高k层。金属栅极堆叠可以包括一个或多个导电层(例如,TiN、TaN、TiAl)并且位于第二电介质层上方。可以借由CVD工艺、PVD工艺、ALD工艺、扩散工艺、溅射工艺或其他合适的工艺来形成电介质层和金属堆叠。
一旦完成栅极结构的形成,即可在图8中形成图1A至图1C中所示的CFET器件100。应当注意的是,可以提供附加步骤。例如,可以在沟槽中形成多个栅极电极(未示出)。栅极电极可以围绕栅极结构,并且进一步耦合到具有导电线和/或通孔的附加互连结构金属化层)。进一步地,可以在S/D区上方形成多种硅化物,如TiSi2、CoSi2、NiPtSi2或PtSi2。多个S/D局部互连(例如,146a-146d)可以形成在硅化物上方。这种互连结构(例如,栅极电极和S/D局部互连)将半导体器件100与其他接触结构和/或有源器件电连接以形成功能电路。也可以形成附加的器件特征,诸如钝化层、输入/输出结构等。
本文描述的各种实施例提供了优于相关示例的若干优点。例如,可以将不同的材料应用于CFET器件中的n型晶体管和p型晶体管。不同的材料分别提供了n型晶体管和p型晶体管的沟道区中的适当载流子迁移率。另外,为了形成CFET器件,可以形成外延层堆叠。外延层堆叠可以包括沟道层和位于沟道层之间的中间层。通过选择适当的材料,可以比沟道层更快地刻蚀中间层。通过使用这种刻蚀选择性,可以去除中间层并留下沟道层。沟道层相应地从中间层的侧壁突出,并用作沟道区。与相关的CFET工艺流程相比,所披露的方法并不需要用于工艺步骤(例如形成沟道区)的新掩模。
在前面的描述中,已经阐明了具体细节,诸如加工系统的特定几何形状以及对其中使用的各种部件和工艺的描述。然而,应理解,可以在脱离这些具体细节的其他实施例中实践本文中的技术,并且这样的细节是出于解释而非限制的目的。已参考附图描述了本文中所披露的实施例。类似地,出于解释的目的,已阐述了具体的数字、材料和配置以便提供透彻的理解。然而,可以在没有这样的具体细节的情况下实践实施例。具有基本上相同的功能构造的部件由相似的附图标记表示,并且因此可以省略任何多余的描述。
已将各种技术描述为多个分立的操作以帮助理解各种实施例。描述的顺序不应当解释为意味着这些操作一定是依赖于顺序的。实际上,这些操作无需按照呈现的顺序执行。可以以与所描述的实施例不同的顺序来执行所描述的操作。在附加实施例中,可以执行各种附加操作和/或可以省略所描述的操作。
如本文所使用的,“衬底”或“目标衬底”通常是指根据本发明被加工的对象。衬底可以包括器件(特别是半导体或其他电子器件)的任何材料部分或结构,并且可以例如是基础衬底结构(比如半导体晶圆、掩模版)、或基础衬底结构上或上覆的层(比如薄膜)。因此,衬底不限于已图案化或未图案化的任何特定基础结构、下覆层或上覆层,而是设想为包括任何这样的层或基础结构、以及层和/或基础结构的任何组合。该描述可以参考特定类型的衬底,但这仅出于说明性目的。
本领域技术人员还将理解,在仍然实现本发明的相同目的的同时,可以对上述技术的操作做出许多改变。本披露内容的范围旨在包含这些改变。因此,本发明的实施例的前述描述不旨在是限制性的。相反,在所附权利要求中呈现了对本发明实施例的任何限制。

Claims (20)

1.一种半导体器件,包括:
形成在衬底上方的第一晶体管对,该第一晶体管对包括
堆叠在彼此上方的n型晶体管和p型晶体管,该n型晶体管具有第一沟道区,该第一沟道区包括具有第一带隙值的一个或多个第一纳米沟道,该一个或多个第一纳米沟道沿着该衬底横向延伸、堆叠在该衬底上方并彼此间隔开,该p型晶体管具有第二沟道区,该第二沟道区包括由化合物材料制成的一个或多个第二纳米沟道,该化合物材料具有基于该化合物材料的预定材料比的第二带隙值,该一个或多个第二纳米沟道沿着该衬底横向延伸、堆叠在该衬底上方并彼此间隔开,该第一沟道区中的一个或多个第一纳米沟道中的每一个和该第二沟道区中的一个或多个第二纳米沟道中的每一个分别被栅极结构围绕。
2.如权利要求1所述的器件,其中,该一个或多个第一纳米沟道包括硅或锗中的至少一种。
3.如权利要求1所述的器件,其中,该化合物材料包括锗锡(GeSn)。
4.如权利要求3所述的器件,其中,该预定材料比包括在该GeSn中1%至10%之间的Sn含量。
5.如权利要求1所述的器件,其中,该一个或多个第一纳米沟道包括N个纳米沟道,N为从一到十的整数。
6.如权利要求1所述的器件,其中,该一个或多个第二纳米沟道包括M个纳米沟道,M为从一到十的整数。
7.如权利要求1所述的器件,其中,该n型晶体管进一步包括:
该n型晶体管的第一源极/漏极(S/D)区和第二S/D区,这些S/D区由掺杂磷的第一材料制成,该n型晶体管的第一S/D区和第二S/D区位于该一个或多个第一纳米沟道的两端并与该一个或多个第一纳米沟道直接接触;以及
该p型晶体管的第一源极/漏极(S/D)区和第二S/D区,这些S/D区由掺杂硼的第二材料制成,该p型晶体管的第一S/D区和第二S/D区位于该一个或多个第二纳米沟道的两端并与该一个或多个第二纳米沟道直接接触。
8.如权利要求7所述的器件,其中,该第一材料包括硅,并且该第二材料包括GeSi、Ge、GePt、GeSiSn、GePtSi、GePt、SiGePt、SiGeSn或SiGeSnPt中的至少一种。
9.如权利要求1所述的器件,进一步包括:
形成在该衬底上方的第二晶体管对,该第二晶体管包括n型晶体管和p型晶体管,该第二晶体管对位于该第一晶体管对的第一侧,该第二晶体管对的n型晶体管的n沟道区耦合到该第一晶体管对的n型晶体管的第一S/D区,该第二晶体管对的p型晶体管的p沟道区耦合到该第一晶体管对的p型晶体管的第一S/D区;以及
形成在该衬底上方的第三晶体管对,该第三晶体管对包括n型晶体管和p型晶体管,该第三晶体管对位于该第一晶体管对的第二侧,该第三晶体管对的n型晶体管的n沟道区耦合到该第一晶体管对的n型晶体管的第二S/D区,该第三晶体管对的p型晶体管的p型沟道区耦合到该第一晶体管对的p型晶体管的第二S/D区。
10.一种用于形成半导体器件的方法,该方法包括:
在衬底上方形成外延层堆叠,该外延层堆叠包括多个中间层、具有第一带隙值的一个或多个第一纳米层和一个或多个第二纳米层,该一个或多个第二纳米层位于该一个或多个第一纳米层下方并且通过该多个中间层中的一个或多个与该一个或多个第一纳米层间隔开,该一个或多个第二纳米层由化合物材料制成,该化合物材料具有基于该化合物材料中的预定材料比的第二带隙值,该一个或多个第一纳米层通过该多个中间层中的一个或多个彼此间隔开,该一个或多个第二纳米层通过该多个中间层中的一个或多个彼此间隔开;
在该外延层堆叠中形成多个沟槽,该外延层堆叠被该多个沟槽分隔成多个子堆叠,使得该一个或多个第一纳米层被分隔成多个第一纳米沟道,该一个或多个第二纳米层被分隔成多个第二纳米沟道,并且该多个子堆叠中的每一个包括一个或多个第一纳米沟道和一个或多个第二纳米沟道;
使该多个中间层凹陷,使得该多个子堆叠中的每一个中的一个或多个第一纳米沟道和一个或多个第二纳米沟道从该多个中间层的侧壁突出;
在该多个沟槽中形成多个顶部源极/漏极(S/D)区,该多个顶部S/D区由第一材料制成并且与该多个第一纳米沟道直接接触;以及
在该多个沟槽中形成多个底部源极/漏极(S/D)区,该多个底部S/D区由第二材料制成并且与该多个第二纳米沟道直接接触。
11.如权利要求10所述的方法,其中,形成该多个中间层包括:
形成底层,该底层位于该衬底上并且由SiGe制成,该SiGe具有在80%至100%之间的Ge含量;以及
形成多个上层,该多个上层位于该底层上方并且包括Ge、Si、SiGe或GeSn中的至少一种。
12.如权利要求10所述的方法,其中,该一个或多个第一纳米层包括硅或锗中的至少一种。
13.如权利要求10所述的方法,其中,该化合物材料包括锗锡(GeSn),使得该预定材料比包括在该GeSn中1%至10%之间的Sn含量。
14.如权利要求10所述的方法,其中,形成该多个顶部S/D区包括在该多个沟槽中沉积掺杂磷的第一材料,该第一材料与该多个第一纳米沟道直接接触并且包括硅。
15.如权利要求10所述的方法,其中,形成该多个底部S/D区包括在该多个沟槽中沉积掺杂硼的第二材料,该第二材料与该多个第二纳米沟道直接接触,并且包括GeSi、Ge、GePt、GeSiSn、GePtSi、GePt、SiGePt、SiGeSn或SiGeSnPt中的至少一种。
16.如权利要求10所述的方法,进一步包括:
去除位于该多个子堆叠中的每一个中的这些中间层;以及
在该多个子堆叠中的每一个中形成多个栅极结构,使得该一个或多个第一纳米沟道中的每一个和该一个或多个第二纳米沟道中的每一个被相应子堆叠中的栅极结构围绕。
17.一种半导体器件,包括:
多个晶体管对,该多个晶体管对形成在衬底上方、并排布置并且彼此耦合,该多个晶体管对中的每一对包括堆叠在彼此上方的n型晶体管和p型晶体管,其中,
该n型晶体管具有第一沟道区,该第一沟道区包括由第一化合物材料制成的一个或多个第一纳米沟道,该第一化合物材料具有基于该第一化合物材料中的第一预定材料比的第一带隙值,该一个或多个第一纳米沟道沿着该衬底横向延伸、堆叠在该衬底上方并彼此间隔开;
该p型晶体管具有第二沟道区,该第二沟道区包括由第二化合物材料制成的一个或多个第二纳米沟道,该第二化合物材料具有基于该第二化合物材料中的第二预定材料比的第二带隙值,该一个或多个第二纳米沟道沿着该衬底横向延伸、堆叠在该衬底上方并彼此间隔开;
该n型晶体管的第一沟道区中的一个或多个第一纳米沟道中的每一个和该p型晶体管的第二沟道区中的一个或多个第二纳米沟道中的每一个分别被栅极结构围绕;
该n型晶体管包括第一源极/漏极(S/D)区和第二S/D区,该n型晶体管的第一S/D区和第二S/D区位于该一个或多个第一纳米沟道的两端并与该一个或多个第一纳米沟道直接接触,该n型晶体管的第一S/D区和第二S/D区中的至少一个耦合到相邻n型晶体管的第一沟道区;并且
该p型晶体管进一步包括第一源极/漏极(S/D)区和第二S/D区,该p型晶体管的第一S/D区和第二S/D区位于该一个或多个第二纳米沟道的两端并与该一个或多个第二纳米沟道直接接触,该p型晶体管的第一S/D区和第二S/D区中的至少一个耦合到相邻p型晶体管的第二沟道区。
18.如权利要求17所述的器件,其中,该第一化合物材料包括第一锗锡(GeSn),使得该第一预定材料比包括在该第一GeSn中1%至6%之间的Sn含量。
19.如权利要求17所述的器件,其中,该第二化合物材料包括第二锗锡(GeSn),使得该第二预定材料比包括在该第二GeSn中1%至10%之间的Sn含量。
20.如权利要求17所述的器件,其中,该n型晶体管的第一S/D区和第二S/D区包括掺杂磷的硅,并且该p型晶体管的第一S/D区和第二S/D区包括GeSi、Ge、GePt、GeSiSn、GePtSi、GePt、SiGePt、SiGeSn或SiGeSnPt中的至少一种。
CN202080067957.1A 2019-10-03 2020-08-17 具有增强的高迁移率沟道元件的高性能纳米片制造方法 Pending CN114503277A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/592,580 US11195832B2 (en) 2019-10-03 2019-10-03 High performance nanosheet fabrication method with enhanced high mobility channel elements
US16/592,580 2019-10-03
PCT/US2020/046661 WO2021066947A1 (en) 2019-10-03 2020-08-17 High performance nanosheet fabrication method with enhanced high mobility channel elements

Publications (1)

Publication Number Publication Date
CN114503277A true CN114503277A (zh) 2022-05-13

Family

ID=75274349

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080067957.1A Pending CN114503277A (zh) 2019-10-03 2020-08-17 具有增强的高迁移率沟道元件的高性能纳米片制造方法

Country Status (5)

Country Link
US (2) US11195832B2 (zh)
KR (1) KR20220074909A (zh)
CN (1) CN114503277A (zh)
TW (1) TWI821600B (zh)
WO (1) WO2021066947A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220020744A1 (en) * 2019-10-03 2022-01-20 Tokyo Electron Limited High performance nanosheet fabrication method with enhanced high mobility channel elements

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11133310B2 (en) * 2019-10-03 2021-09-28 Tokyo Electron Limited Method of making multiple nano layer transistors to enhance a multiple stack CFET performance
US11508625B2 (en) * 2020-01-14 2022-11-22 Tokyo Electron Limited Method of making a continuous channel between 3D CMOS
US11164793B2 (en) * 2020-03-23 2021-11-02 International Business Machines Corporation Reduced source/drain coupling for CFET
US11600703B2 (en) * 2021-01-29 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium tin gate-all-around device
KR20230001172A (ko) 2021-06-28 2023-01-04 삼성전자주식회사 반도체 소자
US11901364B2 (en) * 2021-08-27 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US20230100505A1 (en) * 2021-09-24 2023-03-30 Intel Corporation Selective growth of high-k oxide on channel of gate-all-around transistors
US11705504B2 (en) * 2021-12-02 2023-07-18 International Business Machines Corporation Stacked nanosheet transistor with defect free channel
US11894436B2 (en) 2021-12-06 2024-02-06 International Business Machines Corporation Gate-all-around monolithic stacked field effect transistors having multiple threshold voltages
US20230197813A1 (en) * 2021-12-17 2023-06-22 International Business Machines Corporation Separate gate complementary field-effect transistor

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9012284B2 (en) * 2011-12-23 2015-04-21 Intel Corporation Nanowire transistor devices and forming techniques
US10833193B2 (en) * 2016-09-30 2020-11-10 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device, method of manufacturing the same and electronic device including the device
CN106298778A (zh) * 2016-09-30 2017-01-04 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
US10008583B1 (en) 2017-05-08 2018-06-26 Samsung Electronics Co., Ltd. Gate-all-around nanosheet field-effect transistors and methods of manufacturing the same
US10651291B2 (en) * 2017-08-18 2020-05-12 Globalfoundries Inc. Inner spacer formation in a nanosheet field-effect transistor
US10332985B2 (en) 2017-08-31 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10381438B2 (en) * 2017-11-02 2019-08-13 International Business Machines Corporation Vertically stacked NFETS and PFETS with gate-all-around structure
US10833078B2 (en) 2017-12-04 2020-11-10 Tokyo Electron Limited Semiconductor apparatus having stacked gates and method of manufacture thereof
US10685887B2 (en) 2017-12-04 2020-06-16 Tokyo Electron Limited Method for incorporating multiple channel materials in a complimentary field effective transistor (CFET) device
US20200098753A1 (en) * 2018-09-25 2020-03-26 Intel Corporation High performance semiconductor oxide material channel regions for nmos
CN114068533A (zh) * 2018-10-26 2022-02-18 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
KR20200084532A (ko) * 2019-01-03 2020-07-13 삼성전자주식회사 복수의 채널층을 갖는 반도체 소자 및 그 제조 방법
US11088255B2 (en) * 2019-05-17 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices
US11264285B2 (en) * 2019-07-08 2022-03-01 Tokyo Electron Limited Method for forming film stacks with multiple planes of transistors having different transistor architectures
US11133310B2 (en) * 2019-10-03 2021-09-28 Tokyo Electron Limited Method of making multiple nano layer transistors to enhance a multiple stack CFET performance
US11195832B2 (en) * 2019-10-03 2021-12-07 Tokyo Electron Limited High performance nanosheet fabrication method with enhanced high mobility channel elements
US11410888B2 (en) * 2019-12-06 2022-08-09 Tokyo Electron Limited Method of making 3D CMOS with integrated channel and S/D regions
US11164793B2 (en) * 2020-03-23 2021-11-02 International Business Machines Corporation Reduced source/drain coupling for CFET
US11798851B2 (en) * 2020-04-14 2023-10-24 International Business Machines Corporation Work function metal patterning for nanosheet CFETs
US11600703B2 (en) * 2021-01-29 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium tin gate-all-around device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220020744A1 (en) * 2019-10-03 2022-01-20 Tokyo Electron Limited High performance nanosheet fabrication method with enhanced high mobility channel elements
US11594535B2 (en) * 2019-10-03 2023-02-28 Tokyo Electron Limited High performance nanosheet fabrication method with enhanced high mobility channel elements

Also Published As

Publication number Publication date
TW202127670A (zh) 2021-07-16
US11195832B2 (en) 2021-12-07
US20210104522A1 (en) 2021-04-08
US11594535B2 (en) 2023-02-28
WO2021066947A1 (en) 2021-04-08
TWI821600B (zh) 2023-11-11
US20220020744A1 (en) 2022-01-20
KR20220074909A (ko) 2022-06-03

Similar Documents

Publication Publication Date Title
US11594535B2 (en) High performance nanosheet fabrication method with enhanced high mobility channel elements
TWI804535B (zh) 製造半導體元件的方法、以及半導體元件
US11552080B2 (en) Method of making multiple nano layer transistors to enhance a multiple stack CFET performance
CN108231894B (zh) 半导体器件及其制造方法
US20170053982A1 (en) Series resistance reduction in vertically stacked silicon nanowire transistors
CN108231562B (zh) 逻辑单元结构和方法
US11631671B2 (en) 3D complementary metal oxide semiconductor (CMOS) device and method of forming the same
US11276832B2 (en) Semiconductor structure with diffusion break and method
TW202030884A (zh) 半導體裝置及其製造方法
US11205650B2 (en) Input/output semiconductor devices
TW202044370A (zh) 堆疊的電晶體元件
US20230268391A1 (en) Semiconductor device structure and methods of forming the same
TW202125824A (zh) 半導體裝置結構
CN114078846A (zh) 半导体器件的接触插塞结构及其形成方法
TWI793630B (zh) 半導體裝置及方法
CN110660841B (zh) 半导体元件的制造方法
CN115084265A (zh) 半导体装置
CN114927556A (zh) 一种半导体器件及其制备方法
CN113113407A (zh) 半导体装置
CN116435305A (zh) 集成电路和形成集成电路的方法
CN114864493A (zh) 半导体装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination