TW202125824A - 半導體裝置結構 - Google Patents

半導體裝置結構 Download PDF

Info

Publication number
TW202125824A
TW202125824A TW109146158A TW109146158A TW202125824A TW 202125824 A TW202125824 A TW 202125824A TW 109146158 A TW109146158 A TW 109146158A TW 109146158 A TW109146158 A TW 109146158A TW 202125824 A TW202125824 A TW 202125824A
Authority
TW
Taiwan
Prior art keywords
layer
gate
gate stack
semiconductor
spacer
Prior art date
Application number
TW109146158A
Other languages
English (en)
Other versions
TWI776329B (zh
Inventor
林大鈞
潘國華
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202125824A publication Critical patent/TW202125824A/zh
Application granted granted Critical
Publication of TWI776329B publication Critical patent/TWI776329B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • H10B10/125Static random access memory [SRAM] devices comprising a MOSFET load element the MOSFET being a thin film transistor [TFT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82385Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Bipolar Transistors (AREA)
  • Junction Field-Effect Transistors (AREA)

Abstract

在此提供一種半導體裝置結構。此半導體裝置結構包括第一裝置形成於基板之上,且第一裝置包括第一鰭片結構。此半導體裝置結構亦包括第二裝置形成於第一裝置之上或之下,且第二裝置包括多個第二奈米結構在垂直方向上堆疊。

Description

半導體裝置結構
本發明實施例係有關於一種半導體結構,且特別係有關於一種具有鰭式場效電晶體裝置與全繞式閘極裝置的半導體裝置結構及其製造方法。
半導體裝置使用於各種電子應用中,例如,個人電腦、行動電話、數位相機和其他電子設備。半導體裝置通常藉由以下方式而製造,包括在半導體基板上依序沉積絕緣或介電層、導電層及半導體層等材料層,使用微影製程圖案化上述各材料層,藉以在此半導體基板上形成電路組件及元件。通常在單一半導體晶圓上製造許多積體電路,並且藉由沿著切割線在積體電路之間進行切割,以將各個晶粒單一化。上述各個晶粒通常分別地封裝於,例如,多晶片模組中,或是其他類型的封裝中。
為了追求更高的裝置密度、更高的效能及更低的成本,半導體工業朝向奈米技術製程節點發展,來自製造與設計雙方面之問題的挑戰導致了三維設計的發展。
雖然現有的半導體裝置已普遍能夠符合其預期目的,然而其仍無法完全滿足所有方面的需求。
本揭露之一實施例係揭示一種半導體裝置結構,包括:第一裝置,形成於基板之上,其中第一裝置包括第一鰭片結構;以及第二裝置,形成於第一裝置之上或之下,其中第二裝置包括多個第二奈米結構在垂直方向上堆疊。
本揭露之一實施例係揭示一種半導體裝置結構,包括:第一裝置,形成於基板之上,其中第一裝置包括第一鰭片結構;第一閘極堆疊,包裹圍繞第一鰭片結構;第二裝置,形成於第一裝置之上,其中第二裝置包括多個第二奈米結構在垂直方向上堆疊;以及第二閘極堆疊,包裹圍繞上述多個第二奈米結構,其中第一閘極堆疊的最頂表面高於第二閘極堆疊的底表面。
本揭露之一實施例係揭示一種半導體裝置結構,包括:多個第一奈米結構,形成於基板之上,其中述多個第一奈米結構在垂直方向上堆疊;第一閘極堆疊,包裹圍繞述多個第一奈米結構;第一內部閘極間隔物,形成於述多個第一奈米結構之上;第二鰭片結構,形成於第一內部閘極間隔物之上;以及第二閘極堆疊,包裹圍繞第二鰭片結構。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同部件(feature)。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。例如,若是本說明書敘述了一第一部件形成於一第二部件之上或上方,即表示其可能包括上述第一部件與上述第二部件是直接接觸的實施例,亦可能包括了有額外的部件形成於上述第一部件與上述第二部件之間,而使上述第一部件與第二部件可能未直接接觸的實施例。另外,以下揭露的不同範例可能重複使用相同的參照符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
下文描述實施例的各種變化。藉由各種視圖與所繪示之實施例,類似的元件標號用於標示類似的元件。應可理解的是,可在進行所述的方法之前、之間或之後,提供額外的操作步驟,並且在所述的方法的其他實施例中,所述的部分步驟可被置換或省略。
可以藉由任何合適的方法以圖案化奈米結構電晶體,例如,下文所描述的全繞式閘極(gate all around, GAA)電晶體結構。舉例而言,可使用一個或多個光微影製程(photolithography process),包括雙重圖案化製程或多重圖案化製程,而將結構圖案化。一般而言,雙重圖案化或多重圖案化製程結合了光微影製程及自對準製程(self-aligned process),以創造具有較小節距(pitch)的圖案,舉例而言,此圖案所具有的節距比使用單一直接光微影製程所能夠得到的節距更小。舉例而言,在一實施例中,形成犧牲層於基板之上並使用光微影製程將其圖案化。使用自對準製程形成間隔物於經過圖案化的犧牲層旁。之後,移除犧牲層,並且可使用剩餘的間隔物將全繞式閘極裝置圖案化。
本發明實施例可有關於半導體裝置及其形成方法。更具體而言,本發明實施例可有關於與鰭式場效電晶體(fin field effect transistor, FinFET)裝置整合的奈米結構裝置。奈米結構裝置,例如,全繞式閘極環繞閘極,包括一種裝置,此裝置的閘極結構或其一部分形成在通道區域的四個側面上(例如,圍繞通道區域的一部分)。奈米結構裝置的通道區域可以包括奈米結構通道,例如,奈米線通道、條形通道(bar-shaped channel)及/或其他合適的通道配置。在一些實施例中,全繞式閘極裝置的通道區域可具有垂直間隔的多個水平奈米結構(例如水平奈米線或水平條狀物),而使全繞式閘極裝置成為堆疊式水平全繞式閘極(stacked horizontal GAA, S-HGAA)裝置。再者,全繞式閘極裝置可具有與單個連續的閘極結構或多個閘極結構相關聯的一個或多個奈米結構通道區域(例如,奈米線、奈米片)。本發明所屬技術領域中具有通常知識者應可理解可以從本揭露的許多方面受益的半導體裝置的其他示範例。
在此提供用於形成半導體裝置結構100a的實施例。第1A-1F、1G-1、1G-2、1H-1、1H-2、1I-1、1I-2、1J、1K-1、1K-2、1L-1、1L-2、1M-1、1M-2、1N-1Q圖是依據一些實施例之形成半導體裝置結構100a的製程的各個階段的透視示意圖。半導體裝置結構可以包括靜態隨機存取記憶體(SRAM)及/或邏輯電路、被動元件(例如,電阻、電容及電感)及主動元件(例如,p型場效電晶體(PFET)、n型場效電晶體(NFET)、多閘極場效電晶體(multi-gate FET))、金屬氧化物半導體場效電晶體(MOSFET)、互補式金屬氧化物半導體(CMOS)電晶體、雙極電晶體、高壓電晶體、高頻電晶體、其他記憶體單元及上述之組合。
如第1A圖所繪示,提供基板110。基板110可以由矽或其他半導體材料所製成。替代地或附加地,基板110可以包括其他元素半導體材料,例如,鍺。在一些實施例中,基板110由化合物半導體所製成,例如,碳化矽、砷化鎵、砷化銦或磷化銦。在一些實施例中,基板110由合金半導體所製成,例如,矽鍺、碳化矽鍺(silicon germanium carbide)、磷砷化鎵或磷化銦鎵。在一些實施例中,基板110包括磊晶層。舉例而言,基板110具有覆蓋於塊材(bulk)半導體上的磊晶層。
形成第一犧牲層120於基板110上。可以在基板110上磊晶成長第一犧牲層120,使得第一犧牲層120形成結晶層。在一些實施例中,第一犧牲層120與基板110具有不同的材料及/或組成成分,使得第一犧牲層120與基板110具有不同的蝕刻速率。在一些實施例中,第一犧牲層120由矽鍺(SiGe)所製成。第一犧牲層120的鍺百分比(原子百分比)在大約40%至大約60%之間的範圍內,而可以使用更高或更低的鍺百分比。應注意的是,整個說明書中所記載的數值是示例,並且可以改變為不同的數值。在一些實施例中,第一犧牲層120的厚度在大約20 nm至大約100 nm之間的範圍內。
形成第一半導體堆疊130於第一犧牲層120之上。第一半導體堆疊130包括第一鰭片結構132及半導體層134。形成第一鰭片結構132於第一犧牲層120之上。在一些實施例中,第一鰭片結構132由矽(Si)所製成。在一些實施例中,第一鰭片結構132由不含鍺(Ge)的矽所製成。在一些實施例中,第一鰭片結構132是實質上純的矽層,例如,鍺百分比低於約1%。再者,第一鰭片結構132可以是本質的(intrinsic),其並未摻雜有p型及n型雜質。
形成半導體層134於第一鰭片結構132之上。在一些實施例中,半導體層134由矽鍺層所製成,且此矽鍺層的鍺百分比低於第一犧牲層120中的鍺百分比。半導體層134的鍺百分比在大約20%至大約30%之間的範圍內。再者,第一犧牲層120的鍺百分比與半導體層134的鍺百分比之間的差值可以大於約20%或更高。在一些實施例中,半導體層134的厚度在約10 nm至約20 nm之間的範圍內。
形成第二犧牲層140於第一半導體堆疊130之上。可以在第一半導體堆疊130上磊晶成長第二犧牲層140,使得第二犧牲層140形成結晶層。在一些實施例中,第二犧牲層140與基板110具有不同的材料及/或組成成分,使得第二犧牲層140與基板110具有不同的蝕刻速率。此外,第二犧牲層140與第一犧牲層120具有實質上相同的材料及/或組成成分,使得第二犧牲層140與第一犧牲層120具有實質上相同的蝕刻速率。在一些實施例中,第二犧牲層140由矽鍺(SiGe)所製成。第二犧牲層140的鍺百分比(原子百分比)在大約40%至大約60%之間的範圍內,而可以使用更高或更低的鍺百分比。應注意的是,整個說明書中所記載的數值是示例,並且可以改變為不同的數值。在一些實施例中,第二犧牲層140的厚度在大約20 nm至大約100 nm之間的範圍內。
藉由磊晶在第二犧牲層140上方形成第二半導體堆疊150,使得第二半導體堆疊150形成結晶層。第二半導體堆疊150包括交替堆疊的多個半導體層152及154。半導體層152可以是SiGe層,且此矽鍺層的鍺百分比低於第二犧牲層140中的鍺百分比。在一些實施例中,半導體層152的鍺百分比在大約20%至大約30%之間的範圍內。此外,第二犧牲層140的鍺百分比與半導體層152的鍺百分比之間的差值可以大於約20%或更高。在一些實施例中,每一個半導體層152的厚度在約10 nm至約20 nm之間的範圍內。
半導體層154可以是不含鍺的純矽層。半導體層154也可以是實質上純的矽層,例如,鍺百分比低於約1%。此外,半導體層154可以是本質的(intrinsic),其並未摻雜有p型及n型雜質。可以有兩個、三個、四個或更多個半導體層154。在一些實施例中,每一個半導體層154的厚度在約3 nm至約10 nm之間的範圍內。然而,在一些其他實施例中,半導體層154可以是矽鍺或是用於p型半導體裝置的鍺,或者可以是III-V族材料,例如,砷化銦(InAs)、砷化鎵銦(InGaAs)、銻砷化銦鎵(InGaAsSb)、砷化鎵(GaAs)、銻磷化銦(InPSb)或其他合適的材料。
形成經過圖案化的硬罩幕160於第二半導體堆疊150之上。在一些實施例中,經過圖案化的硬罩幕160由氮化矽、氮氧化矽、碳化矽、碳氮化矽(silicon carbo-nitride)或其類似物所製成。經過圖案化的硬罩幕160覆蓋第二半導體堆疊150的一部分,而保留第二半導體堆疊150的其他部分未被覆蓋。
之後,如第1B圖所繪示,根據一些實施例,藉由使用經過圖案化的硬罩幕160而圖案化基板110、第一犧牲層120、第一半導體堆疊130、第二犧牲層140及第二半導體堆疊150,以形成半導體條帶(semiconductor strip) 210。如此一來,形成溝槽202。在一些實施例中,半導體條帶210的寬度W在約10 nm至約100 nm的範圍內。
之後,形成絕緣材料於基板110之上及半導體條帶210之上。之後,根據一些實施例,移除絕緣材料的一部分以形成隔離結構220。如此一來,半導體條帶210的頂部分在隔離結構220上方。
在一些實施例中,絕緣材料由氧化矽、氮化矽、氮氧化矽、其它合適的絕緣材料或上述之組合所製成。在一些實施例中,藉由低壓化學氣相沉積(LPCVD)製程、電漿輔助化學氣相沉積(plasma-enhanced CVD, PECVD)、高密度電漿化學氣相沉積(high density plasma CVD, HDP-CVD)製程、高深寬比製程(high aspect ratio process, HARP)製程、流動式化學氣相沉積(flowable CVD, FCVD)製程、原子層沉積(ALD)製程、其他合適的方法或上述之組合而形成絕緣材料。
順應性地形成虛置介電層230以覆蓋半導體條帶210及隔離結構220的頂表面。之後,移除虛置介電層230的一部分。虛置介電層230可以用於保護半導體條帶210不受後續處理(例如,後續的虛置閘極結構的形成)的損傷。在一些實施例中,虛置介電層230包括二氧化矽、氮化矽、高介電常數(high-k)介電材料或其他合適的材料。在一些實施例中,藉由原子層沉積製程、化學氣相沉積製程、次常壓化學氣相沉積(subatmospheric CVD, SACVD)製程、流動式化學氣相沉積、物理氣相沉積製程或其他合適的製程而沉積虛置介電層230。
之後,如第1C圖所繪示,在隔離結構220上並且至少在半導體條帶210的相對兩側上形成第一虛置閘極層310。
在一些實施例中,第一虛置閘極層310包括多晶矽(polysilicon)。在一些實施例中,藉由各種製程操作步驟以形成第一虛置閘極層310,例如,沉積、平坦化、蝕刻以及其他合適的製程操作步驟。沉積製程包括化學氣相沉積(包括低壓化學氣相沉積及電漿輔助化學氣相沉積兩者)、物理氣相沉積、原子層沉積、熱氧化、電子束蒸發(e-beam evaporation)、其他合適的沉積技術或上述之組合。之後,可以進行平坦化製程,例如,化學機械平坦化(chemical mechanical planarization, CMP)製程,以暴露虛置介電層230的頂表面。化學機械平坦化製程可以移除第一虛置閘極層310的覆蓋半導體條帶210的部分,並且可以使此結構的頂表面平坦化。然後,進行回蝕刻製程以減小第一虛置閘極層310的厚度,直到第一虛置閘極層310的頂表面實質上齊平於第二犧牲層140的頂表面、底表面或中間高度為止。在一些實施例中,蝕刻製程包括乾式蝕刻(例如,反應性離子蝕刻(RIE))、濕式蝕刻及/或其他蝕刻方法。
形成蝕刻停止層320於第一虛置閘極層310上。在一些實施例中,蝕刻停止層320的頂表面實質上齊平於第二犧牲層240的頂表面。蝕刻停止層320由二氧化矽所製成。在一些實施例中,蝕刻停止層320是藉由以下步驟而形成,將氧離子佈植到第一虛置閘極層310的頂表面下方的第一虛置閘極層310的部分中,並進行熱操作(例如,熱操作)以退火第一虛置閘極層310。因此,在佈植的氧與周圍的第一虛置閘極層310之間發生反應,而在第一虛置閘極層310上提供蝕刻停止層320。亦即,蝕刻停止層320可以由二氧化矽所製成。在一些實施例中,退火製程是快速熱退火(RTA)製程、雷射尖峰退火(laser spike annealing, LSA)製程或其他合適的退火製程。在一些其他實施例中,蝕刻停止層320由介電材料,例如,氧化物、氮化矽、氮碳氧化矽(SiOCN)所製成,並且是藉由沉積製程與之後的回蝕刻製程而形成。
形成第二虛置閘極層330於蝕刻停止層320上並覆蓋半導體條帶210。在一些實施例中,第二虛置閘極層330包括多晶矽。在一些實施例中,藉由各種製程操作步驟以形成第二虛置閘極層330,例如,沉積、平坦化以及其他合適的製程操作步驟。沉積製程包括化學氣相沉積(包括低壓化學氣相沉積及電漿輔助化學氣相沉積兩者)、物理氣相沉積、原子層沉積、熱氧化、電子束蒸發(e-beam evaporation)、其他合適的沉積技術或上述之組合。之後,可以進行平坦化製程,例如,化學機械平坦化製程。化學機械平坦化製程可以移除一部分的第二虛置閘極層330,並且可以使此結構的頂表面平坦化。
形成經過圖案化的硬罩幕340在第二虛置閘極層330之上。在一些實施例中,經過圖案化的硬罩幕340由氮化矽、氮氧化矽、碳化矽、碳氮化矽(silicon carbo-nitride)或其類似物所製成。經過圖案化的硬罩幕340覆蓋第二虛置閘極層330的一部分,而保留第二虛置閘極層330的其他部分未被覆蓋。
之後,如第1D圖所繪示,根據一些實施例,藉由使用經過圖案化的硬罩幕340而圖案化第一虛置閘極層310、蝕刻停止層320及第二虛置閘極層330,以形成與半導體條帶210交叉的至少一個虛置閘極堆疊410。
虛置閘極堆疊410覆蓋半導體條帶210的一部分,而保留半導體條帶210的其他部分未被覆蓋。半導體條帶210的被虛置閘極堆疊410覆蓋的部分可以被稱為半導體條帶210的通道區域,並且半導體條帶210的未被虛置閘極堆疊410覆蓋的部分可以被稱為源極/半導體條帶210的源極/汲極區域。在一些實施例中,虛置閘極堆疊410的長度L在大約5 nm至大約500 nm的範圍內。
多個閘極間隔物420分別形成在虛置閘極堆疊410的側壁上。閘極間隔物420可以包括密封間隔物(seal spacer)及主要間隔物(未繪示)。閘極間隔物420包括一種或多種介電材料,例如、氧化矽、氮化矽、氮氧化矽、氮碳化矽(SiCN)、氮氧碳化矽(SiCx Oy Nz )或上述之組合。可以藉由使用,例如,電漿輔助化學氣相沉積、低壓化學氣相沉積、次常壓化學氣相沉積或其他類似的沉積方法,而形成閘極間隔物420。閘極間隔物420的形成可以包括毯覆式地形成間隔物層,然後進行蝕刻操作以移除間隔物層的水平部分。閘極間隔物層的其餘垂直部分形成閘極間隔物420。
之後,如第1E圖所繪示,根據一些實施例,移除未被虛置閘極堆疊410及閘極間隔物420所覆蓋的半導體條帶210及虛置介電層230的部分。如此一來,半導體條帶210的通道部分及基板110的頂表面被暴露。在一些實施例中,藉由使用虛置閘極堆疊410及閘極間隔物420作為蝕刻罩幕,以蝕刻半導體條帶210及虛置介電層230。蝕刻製程包括乾式蝕刻製程、濕式蝕刻製程或上述之組合。
形成絕緣層510於基板110的頂表面上。在一些實施例中,絕緣層510由二氧化矽、介電材料或其他可適用的材料所製成。在一些實施例中,將氧離子佈植到基板110的頂表面下方的基板110的部分中,並進行熱操作(例如,熱操作)以退火基板110。因此,在佈植的氧與周圍的基板110之間發生反應,而在基板110上提供絕緣層510。亦即,絕緣層510可以由二氧化矽所製成。在一些實施例中,退火製程包括快速熱退火(RTA)製程、雷射尖峰退火(laser spike annealing, LSA)製程或其他合適的退火製程。在一些其他實施例中,絕緣層510由介電材料所製成,並且是藉由沉積製程與之後的回蝕刻製程而形成。在一些實施例中,絕緣層510的厚度在約20 nm至約100 nm的範圍內。
之後,如第1F圖所繪示,根據一些實施例,移除第一犧牲層120及第二犧牲層140。如此一來,在第一半導體堆疊130與基板110之間形成開口122,並且在第二半導體堆疊150與第一半導體堆疊130之間形成開口142。
在一些實施例中,藉由進行蝕刻製程以移除第一犧牲層120及第二犧牲層140。在一些實施例中,蝕刻製程可以是在鍺和矽之間具有高蝕刻選擇性的濕式蝕刻製程。由於第一犧牲層120及第二犧牲層140的材料不同於第一半導體堆疊130及第二半導體堆疊150,因此它們的蝕刻速率不同,並且在移除第一犧牲層120及第二犧牲層140的同時,第一半導體堆疊130及第二半導體堆疊150保留在原處。
形成第一內部閘極間隔物610在開口122中且位於第一半導體堆疊130與基板110之間,並且形成第二內部閘極間隔物620在開口142中且位於第二半導體堆疊150與第一半導體堆疊130之間。在一些其他實施例中,不形成第二內部閘極間隔物620,但是形成第一內部閘極間隔物610。在一些實施例中,第一內部閘極間隔物610的頂表面高於隔離結構220的頂表面。在一些實施例中,第一內部閘極間隔物610的頂表面高於絕緣層510的頂表面。
第一內部閘極間隔物610及第二內部閘極間隔物620可以由氮化矽、氧化物、金屬氧化物或其他介電質所製成,例如,氮氧碳化矽(SiCx Oy Nz )。在一些實施例中,藉由進行原子層沉積製程或其他合適的製程而形成第一內部閘極間隔物610及第二內部閘極間隔物620。在一些實施例中,在沉積第一內部閘極間隔物610及第二內部閘極間隔物620之後進行修整製程(trimming process),以移除第一內部閘極間隔物610及第二內部閘極間隔物620位於開口122及開口142之外的部分。
之後,如第1G-1圖及第1G-2圖所繪示,根據一些實施例,修整半導體層134及半導體層152,以在半導體層134的相對兩側上形成多個第一側壁間隔物710,並且在半導體層152的相對兩側上形成多個第二側壁間隔物720。第1G-2圖是沿著第1G-1圖的剖線A-A’所繪示的剖面圖。
在一些實施例中,藉由進行蝕刻製程以移除半導體層134及半導體層152。在一些實施例中,蝕刻製程是在鍺和矽之間具有高蝕刻選擇性的濕式蝕刻製程。由於半導體層134及半導體層152的材料不同於第一鰭片結構132及半導體層154,因此它們的蝕刻速率不同,並且在修整部分的半導體層134及半導體層152的同時,第一鰭片結構132及半導體層154保留在原處。
在一些實施例中,第一側壁間隔物710及第二側壁間隔物720分別由氮化矽、氧化物、金屬氧化物或其他介電質所製成,例如,氮氧碳化矽(SiCx Oy Nz )。在一些實施例中,藉由進行原子層沉積製程或其他合適的製程而形成第一側壁間隔物710及第二側壁間隔物720。在一些實施例中,在沉積第一側壁間隔物710及第二側壁間隔物720之後進行修整製程,以移除第一側壁間隔物710及第二側壁間隔物720位於閘極間隔物420之外的部分。
之後,如第1H-1圖及第1H-2圖所繪示,根據一些實施例,在第一鰭片結構132及半導體層154的相對兩側側壁上形成多個第一磊晶結構810。第1H-2圖是沿著第1H-1圖的剖線A-A’所繪示的剖面圖。此外,第二側壁間隔物720位於兩個相鄰的半導體層154之間。
應注意的是,由於第一磊晶結構810並未與第二側壁間隔物720的外側側壁直接接觸,因此,在第一磊晶結構810與第二側壁間隔物720之間存在空氣空間811。在一些實施例中,第一磊晶結構810與第一鰭片結構132的外側側壁直接接觸。第一磊晶結構810與第一鰭片結構132之間的界面實質上對準於第一側壁間隔物710的外側側壁。
在一些實施例中,藉由進行選擇性成長製程而形成第一磊晶結構810。因此,第一磊晶結構810與第一鰭片結構132接觸。藉由磊晶成長半導體材料而形成第一磊晶結構810。半導體材料包括單元素半導體材料,例如,鍺或矽;或化合物半導體材料,例如,砷化鎵、砷化鎵鋁(AlGaAs);或半導體合金,例如,矽鍺、磷砷化鎵(GaAsP)。第一磊晶結構810具有合適的結晶取向(crystallographic orientation,例如,(100)、(110)或(111)結晶取向)。在一些實施例中,第一磊晶結構810包括源極/汲極磊晶結構。在一些實施例中,在需要PFET裝置的情況下,第一磊晶結構810可以包括磊晶成長的矽鍺。磊晶製程包括化學氣相沉積技術(例如,氣相磊晶(vapor-phase epitaxy., VPE)及/或超高真空化學氣相沉積(ultra-high vacuum CVD, UHV-CVD))、分子束磊晶(molecular beam epitaxy)及/或其他合適的製程。
在一些實施例中,如果第一磊晶結構810是由矽鍺所製成,則調整鍺濃度。在一些實施例中,對第一磊晶結構810進行摻雜,例如,摻雜硼,並且可以調整摻質濃度。可以調整第一磊晶結構810的尺寸及/或形狀。在一些實施例中,第一磊晶結構810可以是如第1H-1圖所繪示的立方體形狀(cube-shaped),或者在一些其他實施例中為鑽石形狀(diamond shaped)。
之後,如第1I-1圖及第1I-2圖所繪示,根據一些實施例,在隔離結構220上、絕緣層510上以及至少虛置閘極堆疊410的相對兩側上形成底部層間介電質(ILD) 910。第1I-2圖是沿著第1I-1圖的剖線A-A’所繪示的剖面圖。底部層間介電質910圍繞與第一鰭片結構132接觸的第一磊晶結構810,並且暴露與半導體層154接觸的第一磊晶結構810。
在一些實施例中,底部層間介電質910包括非晶矽(amorphous silicon, a-Si)或非晶鍺(amorphous germanium, a-Ge)。在一些實施例中,藉由各種製程操作步驟以形成底部層間介電質910,例如,沉積、平坦化以及其他合適的製程操作步驟。沉積製程包括化學氣相沉積、物理氣相沉積、原子層沉積、熱氧化、電子束蒸發、其他合適的沉積技術或上述之組合。之後,可以進行平坦化製程,例如,化學機械平坦化製程,以暴露出經過圖案化的硬罩幕340的頂表面。化學機械平坦化製程可以移除底部層間介電質910的覆蓋在經過圖案化的硬罩幕340上的部分,並且可以使此結構的頂表面平坦化。然後,進行回蝕刻製程以減小底部層間介電質910的厚度,直到底部層間介電質910的頂表面實質上齊平於第二內部閘極間隔物620的頂表面、底表面或中間高度為止。在一些實施例中,在一些實施例中,蝕刻製程包括乾式蝕刻(例如,反應性離子蝕刻)、濕式蝕刻及/或其他蝕刻方法。
應注意的是,在對底部層間介電質910進行回蝕刻的期間,與半導體層154接觸的第一磊晶結構810也會被移除。如此一來,半導體層154及第二側壁間隔物720被暴露。
隨後,形成蝕刻停止層920於底部層間介電質910上。在一些實施例中,將氧離子佈植到底部層間介電質910的頂表面下方的底部層間介電質910的部分中,並進行熱操作(例如,熱操作)以退火底部層間介電質910。因此,在佈植的氧與周圍的底部層間介電質910之間發生反應,而在底部層間介電質910上提供蝕刻停止層920。亦即,蝕刻停止層920可以由二氧化矽所製成。在一些實施例中,退火製程是快速熱退火製程、雷射尖峰退火製程或其他合適的退火製程。在一些其他實施例中,蝕刻停止層920由介電材料,例如,氧化物、氮化矽、氮碳氧化矽(SiOCN)所製成,並且是藉由沉積製程與之後的回蝕刻製程而形成。
藉由進行,例如,選擇性成長製程而在半導體層154的相對兩側側壁上形成多個第二磊晶結構930。因此,第二磊晶結構930與半導體層154直接接觸並且與第一磊晶結構810分離。藉由磊晶成長半導體材料而形成第二磊晶結構930。半導體材料包括單元素半導體材料,例如,鍺或矽;或化合物半導體材料,例如,砷化鎵、砷化鎵鋁;或半導體合金,例如,矽鍺、磷砷化鎵。第二磊晶結構930具有合適的結晶取向(例如,(100)、(110)或(111)結晶取向)。在一些實施例中,第二磊晶結構930包括源極/汲極磊晶結構。在一些實施例中,在需要NFET裝置的情況下,第二磊晶結構930可以包括磊晶成長的磷化矽(SiP)或碳化矽(SiC)。磊晶製程包括化學氣相沉積技術(例如,氣相磊晶及/或超高真空化學氣相沉積)、分子束磊晶及/或其他合適的製程。
在一些實施例中,第二磊晶結構930被摻雜,例如,磷摻雜(P-doped)或砷摻雜(As-doped),並且可以調整摻質濃度。可以調整第二磊晶結構930的尺寸及/或形狀。例如,第二磊晶結構930可以是如第1I-1圖所繪示的立方體形狀,或者在一些其他實施例中為鑽石形狀(diamond shaped)。
在一些實施例中,第一磊晶結構810是p型磊晶結構,且第二磊晶結構930是n型磊晶結構。在一些其他實施例中,第一磊晶結構810可以是n型磊晶結構,且第二磊晶結構930可以是p型磊晶結構。如果第一磊晶結構810與第二磊晶結構930是不同類型或相同類型的磊晶結構,則實施例落入本揭露的範圍內。第一磊晶結構810與第二磊晶結構930藉由不同的步驟形成,因此第一磊晶結構810與第二磊晶結構930可以具有不同的類型。
之後,如第1J圖所繪示,根據一些實施例,在蝕刻停止層920上並且至少在虛置閘極堆疊410的相對兩側上形成頂部層間介電質1010。
在一些實施例中,頂部層間介電質1010包括與底部層間介電質910不同的材料。在一些實施例中,頂部層間介電質1010由介電材料所製成,例如,氧化物層。在一些實施例中,藉由各種製程操作步驟以形成頂部層間介電質1010,例如,沉積、平坦化以及其他合適的製程操作步驟。沉積製程包括流動式化學氣相沉積、低壓化學氣相沉積、電漿輔助化學氣相沉積、物理氣相沉積、原子層沉積、熱氧化、電子束蒸發、其他合適的沉積技術或上述之組合。之後,可以進行平坦化製程,例如,化學機械平坦化製程,以暴露第二虛置閘極層330的頂表面。化學機械平坦化製程可以移除部分的頂部層間介電質1010及覆蓋在第二虛置閘極層330上的經過圖案化的硬罩幕340,並且可以使此結構的頂表面平坦化。
之後,如第1K-1圖及第1K-2圖所繪示,根據一些實施例,在第二虛置閘極層330、閘極間隔物420及頂部層間介電質1010上方形成經過圖案化的硬罩幕1110。第1K-2圖是沿著第1K-1圖的剖線B-B’所繪示的剖面圖。
在一些實施例中,經過圖案化的硬罩幕1110由氮化矽、氮氧化矽、碳化矽、碳氮化矽(silicon carbo-nitride)或其類似物所製成。經過圖案化的硬罩幕1110覆蓋第二虛置閘極層330、閘極間隔物420及頂部層間介電質1010的一部分,而保留第二虛置閘極層330、閘極間隔物420及頂部層間介電質1010的其他部分未被覆蓋。具體而言,經過圖案化的硬罩幕1110覆蓋第一磊晶結構810、第二磊晶結構930及半導體堆疊130與150。
藉由使用經過圖案化的硬罩幕1110作為罩幕而圖案化第二虛置閘極層330及蝕刻停止層320,以在閘極間隔物420之間形成至少一個溝槽1120。因此,溝槽1120暴露出第一虛置閘極層310。然而,溝槽1120並未暴露虛置介電層230。應注意的是,溝槽1120的尺寸是藉由控制經過圖案化的硬罩幕1110的尺寸而控制的。
第三內部閘極間隔物1130至少形成在第二虛置閘極層330及受到溝槽1120所暴露的蝕刻停止層320的側壁上。在一些實施例中,第三內部閘極間隔物1130是藉由以下步驟形成。首先,在溝槽1120的暴露表面上順應性地形成介電層,然後進行蝕刻製程(例如,乾式刻製程)以移除介電層的部分,而在第二虛置閘極層330及蝕刻停止層320的側壁上形成第三內部閘極間隔物1130。再者,第三內部閘極間隔物1130可以形成在經過圖案化的硬罩幕1110的側壁上。在一些實施例中,第三內部閘極間隔物1130可以由氮化矽、氧化物、金屬氧化物或其他介電質所製成,例如,氮氧碳化矽(SiCx Oy Nz )。在一些實施例中,藉由進行原子層沉積製程或其他合適的製程而形成第三內部閘極間隔物1130。
之後,如第1L-1圖第1L-2圖所繪示,根據一些實施例,移除剩餘的第一虛置閘極層310、虛置介電層230的一部分及半導體層134,以形成凹口1210。第1L-2圖是沿著第1L-1圖的剖線B-B’所繪示的剖面圖。在凹口1210中形成及/或填充第一閘極堆疊1220。因此,第一閘極堆疊1220環繞(包裹)第一鰭片結構132。
應注意的是,第一閘極堆疊1220包括第一部分及第二部分。第一部分靠近第二閘極堆疊1320的側壁(第1M-2圖),並且第二部分位於第二閘極堆疊1320的正下方。在一些實施例中,第一閘極堆疊1220具有L形結構。
在一些實施例中,進行第一蝕刻製程以移除剩餘的第一虛置閘極層310,並且暴露虛置介電層230的一部分。之後,進行第二蝕刻製程以移除暴露的虛置介電層230,並且暴露第一鰭片結構132及半導體層134。進行第三蝕刻製程以選擇性地移除半導體層134,但是不移除第一鰭片結構132。因此,在第一內部閘極間隔物610上形成第一鰭片結構132。
在移除半導體層134之後,凹口1210由隔離結構220、第一內部閘極間隔物610、蝕刻停止層320、第二內部閘極間隔物620、第三內部閘極間隔物1130及閘極間隔物420所定義。
閘極間隔物420設置在第一閘極堆疊1220的相對兩側上。第一閘極堆疊1220包括高介電常數閘極介電層1220a、功函數金屬層1220b及閘極電極1220c。高介電常數閘極介電層1220a順應性地形成在凹口1210中。因此,高介電常數閘極介電層1220a與隔離結構220、第一內部閘極間隔物610、蝕刻停止層320、第二內部閘極間隔物620、第三內部閘極間隔物1130、閘極間隔物420及半導體層134接觸。
再者,高介電常數閘極介電層1220a圍繞第一鰭片結構132。在一些實施例中,高介電常數閘極介電層1220a的材料包括,例如,氧化鉿(HfO2 )、氧化鋯(ZrO2 )或氧化鑭(La2 O3 )。在一些實施例中,可以藉由進行原子層沉積製程或其他合適的製程而形成高介電常數閘極介電層1220a。
在一些實施例中,功函數金屬層1220b順應性地形成在高介電常數閘極介電層上,並且功函數金屬層1220b圍繞半導體層134。功函數金屬層1220b可以包括,例如,氮化鈦、氮化鉭、鋁鈦矽合金(TiAlSi)、氮化矽鈦(TiSiN)、鋁鈦合金(TiAl)、鋁鉭合金或其他合適的材料。在一些實施例中,可以藉由進行原子層沉積製程或其他合適的製程而形成功函數金屬層1220b。
閘極電極1220c填充凹口1210中的剩餘空間。因此,功函數金屬層1220b與高介電常數閘極介電層1220a及閘極電極1220c接觸並且位於兩者之間。閘極電極1220c的材料可以包括,例如,鎢或鋁。在高介電常數閘極介電層1220a、功函數金屬層1220b及閘極電極1220c的沉積之後,可以進行平坦化製程,例如,化學機械平坦化製程,以移除位於凹口1210之外的部分的高介電常數閘極介電質層1220a、功函數金屬層1220b及閘極電極1220c,而形成第一閘極堆疊1220。在一些實施例中,第一閘極堆疊1220是p型金屬閘極堆疊。
之後,如第1M-1圖第1M-2圖所繪示,根據一些實施例,移除剩餘的第二虛置閘極層330、剩餘的虛置介電層230及半導體層152,以形成凹口1310。如此一來,暴露出半導體層154。第1M-2圖是沿著第1M-1圖的剖線B-B’所繪示的剖面圖。
在凹口1310中形成及/或填充第二閘極堆疊1320。因此,第二閘極堆疊1320環繞(包裹)半導體層154,並且形成在第一閘極堆疊1220之上。設置閘極間隔物420在第二閘極堆疊1320的相對兩側上。
再者,第二閘極堆疊1320包裹半導體層154 (或奈米結構154),第二側壁間隔物720位於第二閘極堆疊1320與第二磊晶結構930之間,以防止第二閘極堆疊1320與源極/汲極區域之間的漏電流。
在一些實施例中,藉由進行多次蝕刻製程,以移除剩餘的第二虛置閘極層330、剩餘的虛置介電層230及半導體層152。在一些實施例中,進行第一蝕刻製程以移除剩餘的第二虛置閘極層330,並且暴露出剩餘的虛置介電層230。之後,進行第二蝕刻製程以移除暴露的虛置介電層230,並且暴露出半導體層152及154。進行第三蝕刻製程以選擇性地移除半導體層152,但是不移除半導體層154。如此一來,半導體層154被保留而彼此間隔開並且懸浮在第二內部閘極間隔物620之上。
在一些實施例中,蝕刻停止層320的厚度可以足夠厚,以防止蝕刻停止層320在第二蝕刻製程期間被移除。在移除半導體層154之後,凹口1310由蝕刻停止層320、第二內部閘極間隔物620、第三內部閘極間隔物1130及閘極間隔物420所定義。
第二閘極堆疊1320包括高介電常數閘極介電層1320a、功函數金屬層1320b及閘極電極1320c。高介電常數閘極介電層1320a順應性地形成在凹口1310中。此外,高介電常數閘極介電層1320a與蝕刻停止層320、第二內部閘極間隔物620、第三內部閘極間隔物1130、閘極間隔物420及半導體層154接觸。
再者,高介電常數閘極介電層1320a圍繞半導體層154,並且在沉積高介電常數閘極介電層1320a之後,半導體層154之間仍然留有空間。在一些實施例中,高介電常數閘極介電層1320a的材料包括,例如,氧化鉿(HfO2 )、氧化鋯(ZrO2 )或氧化鑭(La2 O3 )。在一些實施例中,可以藉由進行原子層沉積製程或其他合適的製程而形成高介電常數閘極介電層1320a。
在一些實施例中,功函數金屬層1320b順應性地形成在高介電常數閘極介電層上,並且功函數金屬層1320b圍繞半導體層154。功函數金屬層1320b可以包括,例如,氮化鈦、氮化鉭、鋁鈦矽合金(TiAlSi)、氮化矽鈦(TiSiN)、鋁鈦合金(TiAl)、鋁鉭合金或其他合適的材料。在一些實施例中,可以藉由進行原子層沉積製程或其他合適的製程而形成功函數金屬層1320b。
閘極電極1320c填充凹口中的剩餘空間。因此,功函數金屬層1320b與高介電常數閘極介電層1320a及閘極電極1320c接觸並且位於兩者之間。閘極電極1320c的材料可以包括,例如,鎢或鋁。在高介電常數閘極介電層1320a、功函數金屬層1320b及閘極電極1320c的沉積之後,可以進行平坦化製程,例如,化學機械平坦化製程,以移除位於凹口1310之外的部分的高介電常數閘極介電層1320a、功函數金屬層1320b及閘極電極1320c,而形成第二閘極堆疊1320。在第1M-1圖及第1M-2圖中,第二閘極堆疊1320是n型金屬閘極堆疊。
如第1M-1圖及第1M-2圖所繪示,第一閘極堆疊1220是p型金屬閘極堆疊,且第二閘極堆疊1320是n型金屬閘極堆疊。在一些其他實施例中,第一閘極堆疊1220是n型金屬閘極堆疊,且第二閘極堆疊1320是p型金屬閘極堆疊。
如第1M-1圖及第1M-2圖所繪示,第一鰭片結構132、第一磊晶結構810及第一閘極堆疊1220形成第一裝置10,例如,p型場效電晶體(PFET)。半導體層154、第二磊晶結構930及第二閘極堆疊1320形成第二裝置20,例如,n型場效電晶體(NFET)。第一裝置10是鰭式場效電晶體裝置,而第二裝置20是水平全繞式閘極(horizontal-gate-all-around, HGAA)裝置。因此,第一裝置10及第二裝置20堆疊在基板110上,並且第一裝置10設置在第二裝置20與基板110之間。第一裝置10的通道(即,第一鰭片結構132)被設置在基板110與第二裝置20的通道(即,半導體層154)之間。在一些實施例中,第一裝置10與第二裝置20的其中一個是邏輯電路裝置,另一個是靜態隨機存取記憶體。
第一裝置10藉由蝕刻停止層320、第二內部閘極間隔物620及第三內部閘極間隔物1130而與第二裝置20分開。更具體而言,蝕刻停止層320、第二內部閘極間隔物620及第三內部閘極間隔物1130設置在第一閘極堆疊1220與第二閘極堆疊1320之間並且與此兩者接觸。亦即,第一閘極堆疊1220與第二閘極堆疊1320彼此隔離。再者,第二內部閘極間隔物620設置在第一鰭片結構132與半導體層154之間。第二內部閘極間隔物620包括與第二閘極堆疊1320直接接觸的頂表面以及與第一閘極堆疊1220直接接觸的底表面。
第二閘極堆疊1320設置在第一閘極堆疊1220之上。更具體而言,第一閘極堆疊1220的厚度T1大於第二閘極堆疊1320的厚度T2。第一閘極堆疊1220的底表面低於第二閘極堆疊1320的底表面。第一閘極堆疊1220的最頂表面高於第二閘極堆疊1320的底表面。在一些實施例中,第一閘極堆疊1220的頂表面1222與第二閘極堆疊1320的頂表面1322實質上共平面。隔離結構220設置在第一閘極堆疊1220與基板110之間,而第二閘極堆疊1320設置在隔離結構220之上並且藉由第一閘極堆疊1220而與隔離結構220彼此分隔開。
之後,如第1N圖所繪示,根據一些實施例,對頂部層間介電質1010進行圖案化,以在第一閘極堆疊1220的相對兩側上形成多個溝槽1410。多個接觸件間隔物(contact spacer) 1420至少形成在剩餘的頂部層間介電質1010及受到溝槽1410所暴露的蝕刻停止層920的側壁上。
在一些實施例中,在溝槽1410的暴露表面上順應性地形成介電層,之後進行蝕刻製程,例如,乾式蝕刻製程,以移除部分的介電層,而在剩餘的頂部層間介電質1010及蝕刻停止層920的側壁上形成接觸件間隔物1420。在一些實施例中,接觸件間隔物1420由氮化矽、氧化物、金屬氧化物或其他介電質所製成,例如,氮氧碳化矽(SiCx Oy Nz )。在一些實施例中,藉由進行原子層沉積製程或其他合適的製程而形成接觸件間隔物1420。
之後,如第1O圖所繪示,根據一些實施例,將剩餘的頂部層間介電質1010凹陷化,以在第二閘極堆疊1320的相對兩側上形成多個溝槽1510。溝槽1510分別暴露第二磊晶結構930的至少一部分。
在一些實施例中,部分地移除剩餘的頂部層間介電質1010,使得一部分的頂部層間介電質1010保留在蝕刻停止層920上,如第1O圖所繪示。在一些其他實施例中,移除剩餘的頂部層間介電質1010,使得蝕刻停止層920被溝槽1510所暴露。
之後,如第1P圖所繪示,根據一些實施例,將剩餘的底部層間介電質910移除,以在第一閘極堆疊1220的相對兩側上形成多個凹口1610。如此一來,各個凹口1610暴露第一磊晶結構810、隔離結構220及絕緣層510。
之後,如第1Q圖所繪示,根據一些實施例,第一磊晶結構810及第二磊晶結構930經歷矽化製程,以形成圍繞第一磊晶結構810的第一金屬矽化物層1705及圍繞一部分的第二磊晶結構930的第二金屬矽化物層1715。
在一些實施例中,第一金屬矽化物層1705及第二金屬矽化物層1715是藉由以下步驟形成。首先,形成薄金屬層(未繪示),之後加熱基板110,這使得矽及鍺與所接觸的金屬進行反應。在一些實施例中,薄金屬層由鎳、鉑、鈀、釩、鈦、鈷、鉭、鐿、鋯及上述之組合所製成。進行反應之後,在第一磊晶結構810與金屬層之間形成第一金屬矽化物層1705,並且在第二磊晶結構930與金屬層之間形成第二金屬矽化物層1715。藉由使用侵蝕金屬但不侵蝕第一金屬矽化物層1705及第二金屬矽化物層1715的蝕刻劑,以選擇性地移除未反應的金屬層。
在矽化製程之後,在凹口1610中分別形成多個第一接觸件1710,並且在溝槽1510中以及在第一接觸件1710上分別形成多個第二接觸件1720。因此,第一接觸件1710是與第一金屬矽化物層1705接觸並且包裹第一金屬矽化物層1705,同時第二接觸件1720與第二金屬矽化物層1715接觸並且包裹第二金屬矽化物層1715。
在一些實施例中,第一接觸件1710及第二接觸件1720分別由金屬所製成,例如,鎢(W)、鈷(Co)、釕(Ru)、鋁(Al)、銅(Cu)或其他合適的材料。在沉積第一接觸件1710及第二接觸件1720之後,可以進行平坦化製程,例如,化學機械平坦化製程。因此,第一接觸件1710的頂表面與第二接觸件1720的頂表面是共平面的。
第一接觸件1710藉由蝕刻停止層920、頂部層間介電質1010及接觸件間隔物1420而與第二接觸件1720彼此分隔開。更具體而言,蝕刻停止層920、頂部層間介電質1010及接觸件間隔物1420設置在第一接觸件1710與第二接觸件1720之間並且與此兩者接觸。亦即,第一接觸件1710與第二接觸件1720彼此隔離。再者,蝕刻停止層920設置在第一磊晶結構810與第二磊晶結構930之間。
在第一實施例中,第一裝置10 (例如,p型場效電晶體)形成在基板110之上,且第二裝置20 (例如,n型場效電晶體)形成在第一裝置10之上。在一些實施例中,第一裝置10包括具有矽作為通道的第一鰭片結構,並且第二裝置包括具有多個矽通道的多個奈米結構(奈米線、奈米片等)。
在一些實施例中,半導體裝置結構100a包括堆疊在一起的鰭式場效電晶體裝置及奈米結構全繞式閘極裝置。藉由在第一裝置10的通道與第二裝置20的通道之間施加第二內部閘極間隔物620及第三內部閘極間隔物1130,可以將通道堆疊在一起同時使其彼此隔離。另外,第二內部閘極間隔物620及第三內部閘極間隔物1130進一步隔離第一裝置10的閘極堆疊與第二裝置20的閘極堆疊。此外,第一裝置10及第二裝置20的第一接觸件1710及第二接觸件1720堆疊在一起並且彼此隔離。利用如此的配置,可減小半導體裝置結構100a的佈局面積(layout area)並且增加其裝置密度。
第2A-2F、2G-1、2G-2、2H-1、2H-2、2I-1、2I-2、2J、2K-1、2K-2、2L-1、2L-2、2M-1、2M-2、2N-2Q圖是依據一些實施例之形成半導體裝置結構100b的製程的各個階段的透視示意圖。用於形成半導體裝置結構100a的一些製程及材料與用於形成半導體裝置結構100b的那些製程及材料相似或相同,在此不再贅述。第2Q圖的半導體裝置結構100b相似於第1Q圖的半導體裝置結構100a,第2Q圖與第1Q圖之間的差別在於,第一鰭片結構132是由矽鍺所製成,以形成第2Q圖中的第一裝置10 (例如,p型場效電晶體)。
第2A圖相似於第1A圖,形成第一鰭片結構132於第一犧牲層120之上,並且形成半導體層134於第一鰭片結構132之上。
在一些實施例中,第一鰭片結構132由矽鍺層所製成,且此矽鍺層的鍺百分比低於第一犧牲層120中的鍺百分比。在一些實施例中,第一鰭片結構132的的鍺百分比在大約20%至大約30%之間的範圍內。再者,第一犧牲層120的鍺百分比與第一鰭片結構132的鍺百分比之間的差值可以大於約20%或更高。
在一些實施例中,半導體層134由矽所製成。在一些實施例中,半導體層134由不含鍺的矽所製成。在一些實施例中,半導體層134是實質上純的矽層,例如,鍺百分比低於約1%。再者,半導體層134可以本質的(intrinsic),其並未摻雜有p型及n型雜質。
之後,如第2B圖所繪示,根據一些實施例,形成半導體條帶210,並且形成隔離結構220。隨後,順應性地形成虛置介電層230以覆蓋半導體條帶210。
之後,如第2C圖所繪示,根據一些實施例,形成第一虛置閘極層310、蝕刻停止層320及第二虛置閘極層330於半導體條帶210之上。之後,形成經過圖案化的硬罩幕340於第二虛置閘極層330之上。
之後,如第2D圖所繪示,根據一些實施例,形成與半導體條帶210交叉的虛置閘極堆疊410,隨後在虛置閘極堆疊410的側壁上形成閘極間隔物420。
之後,如第2E圖所繪示,根據一些實施例,移除未被虛置閘極堆疊410及閘極間隔物420所覆蓋的半導體條帶210及虛置介電層230的部分,以暴露出半導體條帶210的通道部分及基板110的頂表面。隨後,形成絕緣層510於基板110的頂表面上。
之後,如第2F圖所繪示,根據一些實施例,移除第一犧牲層120及第二犧牲層140,以形成開口122及開口142。之後,形成第一內部閘極間隔物610於開口122中,並且形成第二內部閘極間隔物620於開口142中。
隨後,如第2G-1圖及第2G-2圖所繪示,根據一些實施例,修整半導體層134及半導體層152,以在半導體層134的相對兩側上形成多個第一側壁間隔物710,並且在半導體層152的相對兩側上形成多個第二側壁間隔物720。第二內部閘極間隔物620具有一頂表面及一底表面,其中此頂表面與第二側壁間隔物720的底表面直接接觸,且此底表面與第一側壁間隔物710的頂表面直接接觸。
應注意的是,由於半導體層134及半導體層152的材料不同於第一鰭片結構132及半導體層154,因此它們的蝕刻速率不同,並且在修整半導體層134及半導體層152的同時,第一鰭片結構132及半導體層154保留在原處。
之後,如第2H-1圖及第2H-2圖所繪示,根據一些實施例,在第一鰭片結構132及半導體層154的相對兩側側壁上形成多個第一磊晶結構810。
之後,如第2I-1圖及第2I-2圖所繪示,根據一些實施例,形成底部層間介電質910以圍繞與第一鰭片結構132接觸的第一磊晶結構810,並且暴露與半導體層154接觸的第一磊晶結構810。之後,在底部層間介電質910上形成蝕刻停止層920,並且在半導體層154的相對兩側的側壁上形成第二磊晶結構930。
之後,如第2J圖所繪示,根據一些實施例,在蝕刻停止層920上並且至少在虛置閘極堆疊410的相對兩側上形成頂部層間介電質1010。
之後,如第2K-1圖及第2K-2圖所繪示,根據一些實施例,藉由使用經過圖案化的硬罩幕1110作為罩幕,而圖案化第二虛置閘極層330及蝕刻停止層320,以形成溝槽1120於閘極間隔物420之間。第三內部閘極間隔物1130至少形成在第二虛置閘極層330及受到溝槽1120所暴露的蝕刻停止層320的側壁上。
之後,如第2L-1圖第2L-2圖所繪示,根據一些實施例,移除剩餘的第一虛置閘極層310、虛置介電層230的一部分及半導體層134,以形成凹口1210。填充第一閘極堆疊1220於凹口1210中。由於第一鰭片結構132與半導體層134由不同的材料所製成,所以移除了半導體層134,但是保留了第一鰭片結構132。第一閘極堆疊1220包裹第一鰭片結構132。
隨後,在第2M-1圖到第2Q圖中所進行的製程與在第1M-1圖到第1Q圖中所進行的製程相同或相似。因此,為了簡潔起見,而將其省略。
在第二實施例中,第一裝置10 (例如,p型場效電晶體)形成在基板110之上,且第二裝置20 (例如,n型場效電晶體)形成在第一裝置10之上。在一些實施例中,第一裝置10包括具有矽鍺作為通道的第一鰭片結構132,並且第二裝置包括具有多個矽通道的多個奈米結構(例如,半導體層154)。
第3A-3F、3G-1、3G-2、3H-1、3H-2、3I-1、3I-2、3J、3K-1、3K-2、3L-1、3L-2、3M-1、3M-2、3N-3Q圖是依據一些實施例之形成半導體裝置結構100c的製程的各個階段的透視示意圖。用於形成半導體裝置結構100c的一些製程及材料與用於形成半導體裝置結構100a的那些製程及材料相似或相同,在此不再贅述。
如第3A圖所繪示,形成第一半導體堆疊130於第一犧牲層120之上。第一半導體堆疊130包括半導體層132及半導體層134。形成第二犧牲層140於第一半導體堆疊130之上。形成第二鰭片結構155於第二犧牲層140之上。形成經過圖案化的硬罩幕160於第二鰭片結構155之上。在一些實施例中,每一個半導體層132由矽所製成,並且每一個半導體層134由矽鍺所製成。
在一些實施例中,在一些實施例中第二鰭片結構155由矽所製成。在一些實施例中,第二鰭片結構155由不含鍺的矽所製成。在一些實施例中,第二鰭片結構155是實質上純的矽層,例如,鍺百分比低於約1%。再者,第二鰭片結構155可以本質的(intrinsic),其並未摻雜有p型及n型雜質。
之後,如第3B圖所繪示,根據一些實施例,藉由使用經過圖案化的硬罩幕160,而圖案化基板110、第一犧牲層120、第一半導體堆疊130、第二犧牲層140、第二鰭片結構155,以形成半導體條帶210。
之後,如第3C圖所繪示,根據一些實施例,形成第一虛置閘極層310、蝕刻停止層320及第二虛置閘極層330於半導體條帶210之上。之後,形成經過圖案化的硬罩幕340於第二虛置閘極層330之上。
之後,如第3D圖所繪示,根據一些實施例,形成與半導體條帶210交叉的虛置閘極堆疊410,隨後在虛置閘極堆疊410的側壁上形成閘極間隔物420。
之後,如第3E圖所繪示,根據一些實施例,移除未被虛置閘極堆疊410及閘極間隔物420所覆蓋的半導體條帶210及虛置介電層230的部分,以暴露出半導體條帶210的通道部分及基板110的頂表面。隨後,形成絕緣層510於基板110的頂表面上。
之後,如第3F圖所繪示,根據一些實施例,移除第一犧牲層120及第二犧牲層140,以形成開口122及開口142。之後,形成第一內部閘極間隔物610於開口122中,並且形成第二內部閘極間隔物620於開口142中。
隨後,如第3G-1圖及第3G-2圖所繪示,根據一些實施例,修整半導體層134,以在半導體層134的相對兩側上形成多個第一側壁間隔物710。
應注意的是,由於半導體層134的材料不同於第二鰭片結構155及半導體層132,因此它們的蝕刻速率不同,並且在修整半導體層134的同時,第二鰭片結構155及半導體層132保留在原處。
之後,如第3H-1圖及第3H-2圖所繪示,根據一些實施例,在半導體層132及第二鰭片結構155的相對兩側側壁上形成多個第一磊晶結構810。應注意的是,由於第一磊晶結構810並未與第一側壁間隔物710直接接觸,因此在第一磊晶結構810與第一側壁間隔物710之間存在空氣空間811。
之後,如第3I-1圖及第3I-2圖所繪示,根據一些實施例,形成底部層間介電質910以圍繞與半導體層134接觸的第一磊晶結構810,並且暴露與第二鰭片結構155接觸的第一磊晶結構810。之後,在底部層間介電質910上形成蝕刻停止層920,並且在第二鰭片結構155的相對兩側的側壁上形成第二磊晶結構930。
隨後,如第3J圖所繪示,根據一些實施例,在蝕刻停止層920上並且至少在虛置閘極堆疊410的相對兩側上形成頂部層間介電質1010。
之後,如第3K-1圖及第3K-2圖所繪示,根據一些實施例,藉由使用經過圖案化的硬罩幕1110作為罩幕,而圖案化第二虛置閘極層330及蝕刻停止層320,以形成溝槽1120於閘極間隔物420之間。第三內部閘極間隔物1130至少形成在第二虛置閘極層330及受到溝槽1120所暴露的蝕刻停止層320的側壁上。
之後,如第3L-1圖第3L-2圖所繪示,根據一些實施例,移除剩餘的第一虛置閘極層310、虛置介電層230的一部分及半導體層134,以形成凹口1210。填充第一閘極堆疊1220於凹口1210中。由於半導體層132與半導體層134由不同的材料所製成,所以移除了半導體層134,但是保留了半導體層132。第一閘極堆疊1220包裹半導體層132。
之後,如第3M-1圖第3M-2圖所繪示,根據一些實施例,移除剩餘的第二虛置閘極層330及剩餘的虛置介電層230,以形成凹口1310。如此一來,暴露出第二鰭片結構155。之後,在凹口1310中形成及/或填充第二閘極堆疊1320。因此,第二閘極堆疊1320環繞(包裹)第二鰭片結構155,並且形成在第一閘極堆疊1220之上。在一些實施例中,第二鰭片結構155與第二閘極堆疊1320直接接觸。在一些實施例中,第二鰭片結構155與第二內部閘極間隔物620直接接觸。
如第3M-1圖及第3M-2圖所繪示,第一閘極堆疊1220是n型金屬閘極堆疊,且第二閘極堆疊1320是p型金屬閘極堆疊。在一些其他實施例中,第一閘極堆疊1220是p型金屬閘極堆疊,且第二閘極堆疊1320是n型金屬閘極堆疊。
如第3M-1圖及第3M-2圖所繪示,半導體層132、第一磊晶結構810及第一閘極堆疊1220形成第一裝置10,例如,n型場效電晶體。第二鰭片結構155、第二磊晶結構930及第二閘極堆疊1320形成第二裝置20,例如,p型場效電晶體。第一裝置10是水平全繞式閘極裝置,而第二裝置20是鰭式場效電晶體裝置。因此,第一裝置10及第二裝置20堆疊在基板110上,並且第一裝置10設置在第二裝置20與基板110之間。
隨後,在第3N圖到第3Q圖中所進行的製程與在第1N圖到第1Q圖中所進行的製程相同或相似。因此,為了簡潔起見,而將其省略。
在第三實施例中,第一裝置10 (例如,n型場效電晶體)形成在基板110之上,且第二裝置20 (例如,p型場效電晶體)形成在第一裝置10之上。在一些實施例中,第一裝置10包括具有多個矽通道的多個奈米結構(或稱為奈米線、奈米片),並且第二裝置包括具有矽作為通道的第二鰭片結構155。在一些其他實施例中,第一裝置10包括具有多個矽通道的多個奈米結構(奈米線),並且第二裝置20包括具有矽鍺作為通道的第二鰭片結構。
第4A-4F、4G-1、4G-2、4H-1、4H-2、4I-1、4I-2、4J、4K-1、4K-2、4L-1、4L-2、4M-1、4M-2、4N-4Q圖是依據一些實施例之形成半導體裝置結構100d的製程的各個階段的透視示意圖。用於形成半導體裝置結構100d的一些製程及材料與用於形成半導體裝置結構100c的那些製程及材料相似或相同,在此不再贅述。
如第4A圖所繪示,形成第一半導體堆疊130於第一犧牲層120之上,形成第二半導體堆疊150於第二犧牲層140之上。第二半導體堆疊150包括半導體層152及半導體層154。
在一些實施例中,半導體層152由矽鍺所製成,且半導體層154由矽所製成。在一些實施例中,半導體層152的厚度小於半導體層154。
之後,如第4B圖所繪示,根據一些實施例,藉由使用經過圖案化的硬罩幕160,而圖案化基板110、第一犧牲層120、第一半導體堆疊130、第二犧牲層140、第二半導體堆疊150,以形成半導體條帶210。
之後,如第4C圖所繪示,根據一些實施例,形成第一虛置閘極層310、蝕刻停止層320及第二虛置閘極層330於半導體條帶210之上。之後,形成經過圖案化的硬罩幕340於第二虛置閘極層330之上。
之後,如第4D圖所繪示,根據一些實施例,形成與半導體條帶210交叉的虛置閘極堆疊410,隨後在虛置閘極堆疊410的側壁上形成閘極間隔物420。
之後,如第4E圖所繪示,根據一些實施例,移除未被虛置閘極堆疊410及閘極間隔物420所覆蓋的半導體條帶210及虛置介電層230的部分,以暴露出半導體條帶210的通道部分及基板110的頂表面。隨後,形成絕緣層510於基板110的頂表面上。
之後,如第4F圖所繪示,根據一些實施例,移除第一犧牲層120及第二犧牲層140,以形成開口122及開口142。之後,形成第一內部閘極間隔物610於開口122中,並且形成第二內部閘極間隔物620於開口142中。
隨後,如第4G-1圖及第4G-2圖所繪示,根據一些實施例,修整半導體層134,以在半導體層134的相對兩側上形成多個第一側壁間隔物710,修整半導體層152,以在半導體層154之下形成第二側壁間隔物720。
應注意的是,由於半導體層152及半導體層134的材料不同於半導體層154及半導體層132,因此它們的蝕刻速率不同,並且在修整半導體層152及半導體層134的同時,半導體層154及半導體層132保留在原處。
之後,如第4H-1圖及第4H-2圖所繪示,根據一些實施例,在半導體層132及半導體層154的相對兩側側壁上形成多個第一磊晶結構810。
之後,如第4I-1圖及第4I-2圖所繪示,根據一些實施例,形成底部層間介電質910以圍繞與半導體層132接觸的第一磊晶結構810,並且暴露與半導體層154接觸的第一磊晶結構810。之後,在底部層間介電質910上形成蝕刻停止層920,並且在半導體層154的相對兩側的側壁上形成第二磊晶結構930。
隨後,如第4J圖所繪示,根據一些實施例,在蝕刻停止層920上並且至少在虛置閘極堆疊410的相對兩側上形成頂部層間介電質1010。
之後,如第4K-1圖及第4K-2圖所繪示,根據一些實施例,藉由使用經過圖案化的硬罩幕1110作為罩幕,而圖案化第二虛置閘極層330及蝕刻停止層320,以形成溝槽1120於閘極間隔物420之間。第三內部閘極間隔物1130至少形成在第二虛置閘極層330及受到溝槽1120所暴露的蝕刻停止層320的側壁上。
之後,如第4L-1圖第4L-2圖所繪示,根據一些實施例,移除剩餘的第一虛置閘極層310、虛置介電層230的一部分及半導體層134,以形成凹口1210。填充第一閘極堆疊1220於凹口1210中。
之後,如第4M-1圖第4M-2圖所繪示,根據一些實施例,移除剩餘的第二虛置閘極層330、剩餘的虛置介電層230及半導體層152,以形成凹口1310。如此一來,暴露出半導體層154。之後,在凹口1310中形成及/或填充第二閘極堆疊1320。因此,第二閘極堆疊1320環繞(包裹)半導體層154,並且形成在第一閘極堆疊1220之上。
如第4M-1圖及第4M-2圖所繪示,第一閘極堆疊1220是n型金屬閘極堆疊,且第二閘極堆疊1320是p型金屬閘極堆疊。在一些其他實施例中,第一閘極堆疊1220是p型金屬閘極堆疊,且第二閘極堆疊1320是n型金屬閘極堆疊。
如第4M-1圖及第4M-2圖所繪示,半導體層132、第一磊晶結構810及第一閘極堆疊1220形成第一裝置10,例如,n型場效電晶體。半導體層154、第二磊晶結構930及第二閘極堆疊1320形成第二裝置20,例如,p型場效電晶體。第一裝置10具有水平全繞式閘極裝置,而第二裝置20也具有水平全繞式閘極裝置。因此,第一裝置10及第二裝置20堆疊在基板110上,並且第一裝置10設置在第二裝置20與基板110之間。
隨後,在第4N圖到第4Q圖中所進行的製程與在第3N圖到第3Q圖中所進行的製程相同或相似。因此,為了簡潔起見,而將其省略。
在第四實施例中,第一裝置10 (例如,n型場效電晶體)形成在基板110之上,且第二裝置20 (例如,p型場效電晶體)形成在第一裝置10之上。在一些實施例中,第一裝置10包括具有多個矽通道的多個奈米結構(或稱為奈米線或奈米片),並且第二裝置包括具有矽通道的奈米結構(或稱為奈米線或奈米片)。在一些其他實施例中,第一裝置10包括具有多個矽通道的多個奈米結構(或稱為奈米線或奈米片),並且第二裝置包括具有矽鍺通道的奈米結構(奈米線)。
在此提供用於形成半導體裝置結構的實施例及其形成方法。鰭式場效電晶體裝置與全繞式閘極裝置在垂直方向上堆疊。鰭式場效電晶體裝置與全繞式閘極裝置藉由內部閘極間隔物彼此隔離。藉由這種設計,可減小半導體裝置的佈局面積並且可增加其裝置密度。
在一些實施例中,提供一種半導體裝置結構。上述半導體裝置結構包括第一裝置形成於基板之上,其中上述第一裝置包括第一鰭片結構。上述半導體裝置結構亦包括第二裝置形成於上述第一裝置之上或之下,其中上述第二裝置包括多個第二奈米結構在垂直方向上堆疊。
在一些實施例中,在上述半導體裝置結構中,上述第一裝置及上述第二裝置的其中一者為p型裝置,且另一者為n型裝置。
在一些實施例中,上述半導體裝置結構更包括第一閘極堆疊包裹圍繞上述第一鰭片結構;以及第二閘極堆疊包裹圍繞上述第二奈米結構。
在一些實施例中,上述半導體裝置結構更包括內部閘極間隔物位於上述第一閘極堆疊與上述第二閘極堆疊之間。
在一些實施例中,在上述半導體裝置結構中,上述第一閘極堆疊包括第一部分及第二部分,上述第一部分靠近上述第二閘極堆疊的側壁,且上述第二部分位於上述第二閘極堆疊的正下方。
在一些實施例中,上述半導體裝置結構更包括隔離結構,形成於上述基板之上;以及內部閘極間隔物,延伸於上述基板上方,其中上述內部閘極間隔物的頂表面高於上述隔離結構的頂表面。
在一些實施例中,上述半導體裝置結構更包括側壁間隔物形成於兩個相鄰的上述第二奈米結構之間;第二源極/汲極結構,形成於上述第二奈米結構的其中一者的側壁上;以及第二閘極堆疊,包裹圍繞上述第二奈米結構,其中上述側壁間隔物位於上述第二閘極堆疊與上述第二源極/汲極結構之間。
在一些實施例中,上述半導體裝置結構更包括第一源極/汲極結構,形成於上述第一鰭片結構的側壁上;以及蝕刻停止層,位於上述第一源極/汲極結構與上述第二源極/汲極結構之間。
在一些實施例中,在上述半導體裝置結構中,上述第一裝置及上述第二裝置的其中一者為邏輯電路裝置,且另一者為靜態隨機存取記憶體。
在一些實施例中,提供一種半導體裝置結構。上述半導體裝置結構包括第一裝置形成於基板之上,其中上述第一裝置包括第一鰭片結構;第一閘極堆疊包裹圍繞上述第一鰭片結構;第二裝置形成於上述第一裝置之上,其中上述第二裝置包括多個第二奈米結構在垂直方向上堆疊;以及第二閘極堆疊,包裹圍繞上述第二奈米結構,其中上述第一閘極堆疊的最頂表面高於上述第二閘極堆疊的底表面。
在一些實施例中,上述半導體裝置結構更包括側壁間隔物形成於兩個相鄰的上述第二奈米結構之間;以及源極/汲極結構形成於上述第二奈米結構的其中一者的側壁上,其中上述側壁間隔物位於上述第二閘極堆疊與上述源極/汲極結構之間。
在一些實施例中,上述半導體裝置結構更包括蝕刻停止層位於上述第一閘極堆疊與上述第二閘極堆疊之間。
在一些實施例中,在上述半導體裝置結構中,上述第一裝置及上述第二裝置的其中一者為邏輯電路裝置,且另一者為靜態隨機存取記憶體。
在一些實施例中,在上述半導體裝置結構中,上述第一裝置及上述第二裝置的其中一者為一p型裝置,且另一者為一n型裝置。
在一些實施例中,上述半導體裝置結構更包括內部閘極間隔物位於上述第一閘極堆疊與上述第二閘極堆疊之間,其中上述內部閘極間隔物包括與上述第一閘極堆疊直接接觸的頂表面及與上述第二閘極堆疊直接接觸的底表面。
在一些實施例中,提供一種半導體裝置結構。上述半導體裝置結構包括多個第一奈米結構形成於基板之上,其中上述第一奈米結構在垂直方向上堆疊;第一閘極堆疊包裹圍繞上述第一奈米結構;第一內部閘極間隔物形成於上述第一奈米結構之上;第二鰭片結構形成於上述第一內部閘極間隔物之上;以及第二閘極堆疊包裹圍繞上述第二鰭片結構。
在一些實施例中,在上述半導體裝置結構中,上述第二鰭片結構直接接觸上述第一內部閘極間隔物。
在一些實施例中,在上述半導體裝置結構中,上述第一閘極堆疊包括第一部分及第二部分,上述第一部分靠近上述第二閘極堆疊的側壁,且上述第二部分位於上述第二閘極堆疊的正下方。
在一些實施例中,上述半導體裝置結構更包括第二內部閘極間隔物位於上述第一閘極堆疊的上述第一部分與上述第二閘極堆疊之間。
在一些實施例中,在上述半導體裝置結構中,上述第一閘極堆疊具有第一厚度,上述第二閘極堆疊具有第二厚度,且上述第二厚度小於上述第一厚度。
前述內文概述了許多實施例的部件,使本技術領域中具有通常知識者可以從各個方面更佳地了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明的發明精神與範圍。在不背離本發明的發明精神與範圍之前提下,可對本發明進行各種改變、置換或修改。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
10:第一裝置 20:第二裝置 100a:半導體裝置結構 100b:半導體裝置結構 100c:半導體裝置結構 100d:半導體裝置結構 110:基板 120:第一犧牲層 122:開口 130:第一半導體堆疊 132:第一鰭片結構(半導體層) 134:半導體層 140:第二犧牲層 142:開口 150:第二半導體堆疊 152:半導體層 154:半導體層(奈米結構) 155:第二鰭片結構 160:經過圖案化的硬罩幕 202:溝槽 210:半導體條帶 220:隔離結構 230:虛置介電層 310:第一虛置閘極層 320:蝕刻停止層 330:第二虛置閘極層 340:經過圖案化的硬罩幕 410:虛置閘極堆疊 420:閘極間隔物 510:絕緣層 610:第一內部閘極間隔物 620:第二內部閘極間隔物 710:第一側壁間隔物 720:第二側壁間隔物 810:第一磊晶結構 811:空氣空間 910:底部層間介電質 920:蝕刻停止層 930:第二磊晶結構 1010:頂部層間介電質 1110:經過圖案化的硬罩幕 1120:溝槽 1130:第三內部閘極間隔物 1210:凹口 1220:第一閘極堆疊 1220a:高介電常數閘極介電層 1220b:功函數金屬層 1220c:閘極電極 1222:頂表面 1310:凹口 1320:第二閘極堆疊 1320a:高介電常數閘極介電層 1320b:功函數金屬層 1320c:閘極電極 1322:頂表面 1410:溝槽 1420:接觸件間隔物 1510:溝槽 1610:凹口 1705:第一金屬矽化物層 1710:第一接觸件 1715:第二金屬矽化物層 1720:第二接觸件 L:長度 T1:厚度 T2:厚度 W:寬度
依據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,依據本產業的一般作業,圖式並未必按照比率繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。 第1A-1F、1G-1、1G-2、1H-1、1H-2、1I-1、1I-2、1J、1K-1、1K-2、1L-1、1L-2、1M-1、1M-2、1N-1Q圖是依據一些實施例之形成半導體裝置結構的製程的各個階段的透視示意圖。 第2A-2F、2G-1、2G-2、2H-1、2H-2、2I-1、2I-2、2J、2K-1、2K-2、2L-1、2L-2、2M-1、2M-2、2N-2Q圖是依據一些實施例之形成半導體裝置結構的製程的各個階段的透視示意圖。 第3A-3F、3G-1、3G-2、3H-1、3H-2、3I-1、3I-2、3J、3K-1、3K-2、3L-1、3L-2、3M-1、3M-2、3N-3Q圖是依據一些實施例之形成半導體裝置結構的製程的各個階段的透視示意圖。 第4A-4F、4G-1、4G-2、4H-1、4H-2、4I-1、4I-2、4J、4K-1、4K-2、4L-1、4L-2、4M-1、4M-2、4N-4Q圖是依據一些實施例之形成半導體裝置結構的製程的各個階段的透視示意圖。
100a:半導體裝置結構
110:基板
220:隔離結構
420:閘極間隔物
510:絕緣層
810:第一磊晶結構
920:蝕刻停止層
930:第二磊晶結構
1010:頂部層間介電質
1130:第三內部閘極間隔物
1220:第一閘極堆疊
1220a:高介電常數閘極介電層
1220b:功函數金屬層
1220c:閘極電極
1320:第二閘極堆疊
1420:接觸件間隔物
1705:第一金屬矽化物層
1710:第一接觸件
1715:第二金屬矽化物層
1720:第二接觸件

Claims (20)

  1. 一種半導體裝置結構,包括: 一第一裝置,形成於一基板之上,其中該第一裝置包括一第一鰭片結構;以及 一第二裝置,形成於該第一裝置之上或之下,其中該第二裝置包括多個第二奈米結構在垂直方向上堆疊。
  2. 如請求項1所述之半導體裝置結構,其中該第一裝置及該第二裝置的其中一者為一p型裝置,且另一者為一n型裝置。
  3. 如請求項1所述之半導體裝置結構,更包括: 一第一閘極堆疊,包裹圍繞該第一鰭片結構;以及 一第二閘極堆疊,包裹圍繞該等第二奈米結構。
  4. 如請求項3所述之半導體裝置結構,更包括: 一內部閘極間隔物,位於該第一閘極堆疊與該第二閘極堆疊之間。
  5. 如請求項3所述之半導體裝置結構,其中該第一閘極堆疊包括一第一部分及一第二部分,該第一部分靠近該第二閘極堆疊的一側壁,且該第二部分位於該第二閘極堆疊的正下方。
  6. 如請求項1所述之半導體裝置結構,更包括: 一隔離結構,形成於該基板之上;以及 一內部閘極間隔物,延伸於該基板上方,其中該內部閘極間隔物的一頂表面高於該隔離結構的一頂表面。
  7. 如請求項1所述之半導體裝置結構,更包括: 一側壁間隔物,形成於兩個相鄰的該等第二奈米結構之間; 一第二源極/汲極結構,形成於該等第二奈米結構的其中一者的一側壁上;以及 一第二閘極堆疊,包裹圍繞該等第二奈米結構,其中該側壁間隔物位於該第二閘極堆疊與該第二源極/汲極結構之間。
  8. 如請求項7所述之半導體裝置結構,更包括: 一第一源極/汲極結構,形成於該第一鰭片結構的一側壁上;以及 一蝕刻停止層,位於該第一源極/汲極結構與該第二源極/汲極結構之間。
  9. 如請求項1所述之半導體裝置結構,其中該第一裝置及該第二裝置的其中一者為一邏輯電路裝置,且另一者為一靜態隨機存取記憶體。
  10. 一種半導體裝置結構,包括: 一第一裝置,形成於一基板之上,其中該第一裝置包括一第一鰭片結構; 一第一閘極堆疊,包裹圍繞該第一鰭片結構; 一第二裝置,形成於該第一裝置之上,其中該第二裝置包括多個第二奈米結構在垂直方向上堆疊;以及 一第二閘極堆疊,包裹圍繞該等第二奈米結構,其中該第一閘極堆疊的一最頂表面高於該第二閘極堆疊的一底表面。
  11. 如請求項10所述之半導體裝置結構,更包括: 一側壁間隔物,形成於兩個相鄰的該等第二奈米結構之間;以及 一源極/汲極結構,形成於該等第二奈米結構的其中一者的一側壁上;以及 一第二閘極堆疊,包裹圍繞該等第二奈米結構,其中該側壁間隔物位於該第二閘極堆疊與該源極/汲極結構之間。
  12. 如請求項10所述之半導體裝置結構,更包括: 一蝕刻停止層,位於該第一閘極堆疊與該第二閘極堆疊之間。
  13. 如請求項10所述之半導體裝置結構,其中該第一裝置及該第二裝置的其中一者為一邏輯電路裝置,且另一者為一靜態隨機存取記憶體。
  14. 如請求項10所述之半導體裝置結構,其中該第一裝置及該第二裝置的其中一者為一p型裝置,且另一者為一n型裝置。
  15. 如請求項10所述之半導體裝置結構,更包括: 一內部閘極間隔物,位於該第一閘極堆疊與該第二閘極堆疊之間,其中該內部閘極間隔物包括與該第一閘極堆疊直接接觸的一頂表面及與該第二閘極堆疊直接接觸的一底表面。
  16. 一種半導體裝置結構,包括: 多個第一奈米結構,形成於一基板之上,其中該等第一奈米結構在垂直方向上堆疊; 一第一閘極堆疊,包裹圍繞該等第一奈米結構; 一第一內部閘極間隔物,形成於該等第一奈米結構之上; 一第二鰭片結構,形成於該第一內部閘極間隔物之上;以及 一第二閘極堆疊,包裹圍繞該第二鰭片結構。
  17. 如請求項16所述之半導體裝置結構,其中該第二鰭片結構直接接觸該第一內部閘極間隔物。
  18. 如請求項16所述之半導體裝置結構,其中該第一閘極堆疊包括一第一部分及一第二部分,該第一部分靠近該第二閘極堆疊的一側壁,且該第二部分位於該第二閘極堆疊的正下方。
  19. 如請求項18所述之半導體裝置結構,更包括: 一第二內部閘極間隔物,位於該第一閘極堆疊的該第一部分與該第二閘極堆疊之間。
  20. 如請求項18所述之半導體裝置結構,其中該第一閘極堆疊具有一第一厚度,該第二閘極堆疊具有一第二厚度,且該第二厚度小於該第一厚度。
TW109146158A 2019-12-27 2020-12-25 半導體裝置結構 TWI776329B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962954191P 2019-12-27 2019-12-27
US62/954,191 2019-12-27
US16/838,198 2020-04-02
US16/838,198 US11362096B2 (en) 2019-12-27 2020-04-02 Semiconductor device structure and method for forming the same

Publications (2)

Publication Number Publication Date
TW202125824A true TW202125824A (zh) 2021-07-01
TWI776329B TWI776329B (zh) 2022-09-01

Family

ID=76546549

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109146158A TWI776329B (zh) 2019-12-27 2020-12-25 半導體裝置結構

Country Status (3)

Country Link
US (1) US11362096B2 (zh)
KR (1) KR102414339B1 (zh)
TW (1) TWI776329B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230073078A1 (en) * 2021-08-25 2023-03-09 Intel Corporation Gate-to-gate isolation for stacked transistor architecture via selective dielectric deposition structure
US11777034B2 (en) * 2021-09-07 2023-10-03 International Business Machines Corporation Hybrid complementary field effect transistor device
EP4300560A1 (en) * 2022-06-30 2024-01-03 Imec VZW A method for forming a stacked transistor device

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2235745A1 (en) 2007-12-21 2010-10-06 Nxp B.V. Improved manufacturing method for planar independent-gate or gate-all-around transistors
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9006829B2 (en) 2012-08-24 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
KR102083627B1 (ko) 2013-09-24 2020-03-02 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9627540B1 (en) 2015-11-30 2017-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN108475697A (zh) 2015-12-22 2018-08-31 英特尔公司 具有嵌入式电介质间隔的纳米线晶体管
US9553031B1 (en) 2016-04-01 2017-01-24 Lam Research Corporation Method for integrating germanides in high performance integrated circuits
US10062782B2 (en) 2016-11-29 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device with multilayered channel structure
KR102574454B1 (ko) 2016-12-16 2023-09-04 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US10403550B2 (en) 2017-08-30 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10297508B2 (en) 2017-08-31 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10453752B2 (en) 2017-09-18 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a gate-all-around semiconductor device
US10679988B2 (en) 2017-09-18 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including FinFETS having different channel heights and manufacturing method thereof
US10497624B2 (en) 2017-09-29 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10170484B1 (en) 2017-10-18 2019-01-01 Globalfoundries Inc. Integrated circuit structure incorporating multiple gate-all-around field effect transistors having different drive currents and method
US10546925B2 (en) * 2017-11-02 2020-01-28 International Business Machines Corporation Vertically stacked nFET and pFET with dual work function
US10141403B1 (en) 2017-11-16 2018-11-27 International Business Machines Corporation Integrating thin and thick gate dielectric nanosheet transistors on same chip
US10229971B1 (en) 2017-11-16 2019-03-12 International Business Machines Corporation Integration of thick and thin nanosheet transistors on a single chip
US10685887B2 (en) * 2017-12-04 2020-06-16 Tokyo Electron Limited Method for incorporating multiple channel materials in a complimentary field effective transistor (CFET) device
US10833078B2 (en) * 2017-12-04 2020-11-10 Tokyo Electron Limited Semiconductor apparatus having stacked gates and method of manufacture thereof
WO2019132893A1 (en) 2017-12-27 2019-07-04 Intel Corporation Integrated circuits with stacked transistors and methods of manufacturing the same using processes which fabricate lower gate structures following completion of portions of an upper transistor
US10748901B2 (en) * 2018-10-22 2020-08-18 International Business Machines Corporation Interlayer via contacts for monolithic three-dimensional semiconductor integrated circuit devices
US11437283B2 (en) * 2019-03-15 2022-09-06 Intel Corporation Backside contacts for semiconductor devices
US20200294969A1 (en) * 2019-03-15 2020-09-17 Intel Corporation Stacked transistors with dielectric between source/drain materials of different strata

Also Published As

Publication number Publication date
TWI776329B (zh) 2022-09-01
KR20210086432A (ko) 2021-07-08
US20210202497A1 (en) 2021-07-01
KR102414339B1 (ko) 2022-06-29
US11362096B2 (en) 2022-06-14

Similar Documents

Publication Publication Date Title
TWI804535B (zh) 製造半導體元件的方法、以及半導體元件
US11848242B2 (en) Method of manufacturing a semiconductor device and a semiconductor device
US9716158B1 (en) Air gap spacer between contact and gate region
US11855168B2 (en) Semiconductor device and manufacturing method thereof
US11495688B2 (en) Source and drain epitaxy and isolation for gate structures
TWI776329B (zh) 半導體裝置結構
TW201732894A (zh) 半導體裝置及其製造方法
KR20220074909A (ko) 향상된 고이동도의 채널 요소를 갖는 고성능 나노 시트를 제조하는 방법
TW201947772A (zh) 混合半導體電晶體結構與製造方法
KR102293005B1 (ko) 반도체 디바이스 제조 방법
TW202020948A (zh) 形成環繞閘極場效應電晶體的方法
TW202224185A (zh) 半導體裝置
TW202236675A (zh) 半導體元件
US20220320116A1 (en) Semiconductor device structure
TW202139366A (zh) 半導體裝置及其製造方法
TWI807817B (zh) 半導體裝置及其形成方法
US11158741B2 (en) Nanostructure device and method
US20230178600A1 (en) Semiconductor Device Structure and Method for Forming the Same
US11855179B2 (en) Semiconductor devices and methods of manufacturing thereof
US20230369402A1 (en) Semiconductor devices with asymmetric source/drain design
US20240021733A1 (en) Stacked semiconductor device
US20230061323A1 (en) Semiconductor devices and methods of manufacturing thereof
US20240038867A1 (en) Isolation pillar structures for stacked device structures
TW202320224A (zh) 半導體裝置及其形成方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent