CN113140438A - 用于监视积分值的基质处理设备和基板处理方法 - Google Patents

用于监视积分值的基质处理设备和基板处理方法 Download PDF

Info

Publication number
CN113140438A
CN113140438A CN202110052988.6A CN202110052988A CN113140438A CN 113140438 A CN113140438 A CN 113140438A CN 202110052988 A CN202110052988 A CN 202110052988A CN 113140438 A CN113140438 A CN 113140438A
Authority
CN
China
Prior art keywords
plasma
substrate processing
processing apparatus
controller
related signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110052988.6A
Other languages
English (en)
Inventor
庄司文孝
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN113140438A publication Critical patent/CN113140438A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/0006Investigating plasma, e.g. measuring the degree of ionisation or the electron temperature
    • H05H1/0012Investigating plasma, e.g. measuring the degree of ionisation or the electron temperature using electromagnetic or particle radiation, e.g. interferometry
    • H05H1/0025Investigating plasma, e.g. measuring the degree of ionisation or the electron temperature using electromagnetic or particle radiation, e.g. interferometry by using photoelectric means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种基板处理设备的示例包括:输出装置,其配置为输出等离子体有关信号,该等离子体有关信号是与用于基板处理的等离子体处理相关地获得的信号;以及控制器,其配置为监视直接或间接从输出装置接收的等离子体有关信号的积分值。

Description

用于监视积分值的基质处理设备和基板处理方法
技术领域
描述了涉及基板处理设备和基板处理方法的示例。
背景技术
在等离子体增强原子层沉积(PE-ALD)中,通过按以下顺序重复以下步骤来执行膜形成处理直到获得所需的膜厚度:使膜形成材料吸附到晶片表面上的进给步骤(源进给);在膜形成材料吸附到晶片表面上已饱和之后排出多余膜形成材料的净化步骤(源净化);以及通过由射频功率产生的等离子体形成自由基反应物、使反应物与已吸附到晶片上的膜形成材料反应以及以原子层为单位形成膜的反应步骤(RF On)。
为了监视在产生等离子体的同时形成正常膜,有时将这些因素测量为射频功率的反射波功率的大小和等离子体的发光强度。例如,监视反射波功率使得可以发现以下问题:由于大的反射波功率,有效地施加至喷头的行波功率变小,从而无法获得期望的膜质量。例如,当反射波功率的最大值超过阈值时,可以发出警报或使设备停止。
其间在PE-ALD膜形成中产生等离子体的时间段通常最长为约0.1秒至约几秒。当立即以电子方式执行射频功率的阻抗匹配时,大的反射波功率的值足够快地收敛,并且不存在实际问题。然而,在上述示例中,如果反射波功率的最大值大,则该最大值导致被检测为警报。
情况不限于上述示例,并且已经考虑了用于监视通常执行基板处理的各种技术。然而,在那些技术中,存在以下问题:发出不必要的警报,或者不能高精度地监视基板处理。
发明内容
本文描述的一些示例可以解决上述问题。本文描述的一些示例可以提供使得可以以高精度地监视过程的基板处理设备和基板处理方法。
在一些示例中,一种基板处理设备包括:输出装置,其配置为输出等离子体有关信号,该等离子体有关信号是与等离子体处理相关地获得的信号;以及控制器,其配置为监视所述等离子体有关信号的积分值。
附图说明
图1是表示基板处理设备的结构示例的图;
图2是表示基板处理方法的一示例的流程图;
图3是表示行波功率和反射波功率的波形的示例;
图4是表示基板处理方法的另一示例的流程图;
图5是表示PD电压的示例的图;
图6是表示根据另一示例的基板处理设备的结构示例的图;
图7是表示根据又一示例的基板处理设备的结构示例的图;以及
图8是示出使用图7的设备的基板处理方法的示例的流程图。
具体实施方式
下面将参考附图描述基板处理设备和基板处理方法。在某些情况下,相同或相应的部件将由相同的附图标记表示,并且将省略重复的描述。
图1是表示基板处理设备的结构示例的图。基板处理设备包括室10;以及设置在室10中的台架12和喷头14。台架12和喷头14提供平行板结构。通过喷头14的狭缝从气体源向台架12和喷头14之间的空间供给气体。该气体用于处理设置在台架12上的基板。例如,基板的处理是使用等离子体的膜形成、使用等离子体的蚀刻或使用等离子体的膜改性。
根据一示例,用于处理基板的模块由处理模块控制器(PMC)20控制。根据一示例,配方存储在PMC20中,并且PMC20根据配方来控制用于基板处理的模块。PMC20例如是微型计算机。例如,UPC(唯一平台控制器)19连接到PMC20。根据一示例,UPC19用作用于检测异常的控制器。UPC19可以包括计算单元、存储单元、警报确定单元和传感器监视单元。
数据存储单元21连接到PMC20和UPC19。数据存储单元21是硬盘中的一部分,例如其存储基板处理设备的操作所需的数据。
图1示出了射频电源装置22和光电检测器30,作为由PMC20控制的模块的示例。
射频电源装置22基于从PMC20发送的命令来输出射频功率。根据一示例,射频电源装置22通过DC/DC转换器转换DC电源的DC电压;将DC转换为AC并通过RF放大单元放大AC;并且将获得的射频功率提供给负载比如等离子体负载。根据一示例,从射频电源装置22输出的射频功率通过RF传感器24和匹配盒26被施加到喷头14。
行波功率的反馈控制器28基于已经由RF传感器24检测到的行波功率的反馈值来执行反馈控制。反射波功率的反馈控制器29基于已经由RF传感器24检测到的反射波功率的反馈值来执行反馈控制。
RF传感器24检测行波功率,并将反映行波功率的大小的信号传输到行波功率的反馈控制器28。此外,RF传感器24检测反射波功率,并且将反映反射波功率的大小的信号传输到反射波功率的反馈控制器29。
匹配盒26可以是机械匹配器或电子匹配器。根据一示例,光电检测器30将在台架12和喷头14之间的空间中产生的等离子体的光转换成电压,并且输出该电压。
图2是表示基板处理方法的一示例的流程图。在该示例中,在使用等离子体的基板处理中,射频功率的反射波功率将成为被监视的对象。首先,在步骤S1,对基板进行等离子体处理。具体地,从射频电源装置22向喷头14施加射频功率,以产生设置在平行板之间的气体的等离子体,并且用等离子体处理平台12上的基板。
在步骤S2,计算已由RF传感器24检测到的反射波功率的积分值。反射波功率的反馈控制器29计算反射波功率的积分值;以接收反映反射波功率的大小的信号的PMC20计算反射波功率的积分值;或者已接收信号的UPC19计算反射波功率的积分值。根据一示例,UPC19的计算单元计算积分值。任意控制器都可以计算积分值。可以为一个反射波功率来确定积分值,该反射波功率是针对射频功率的一个脉冲而获得的。根据另一示例,为多个反射波功率确定积分值,该多个反射波功率是针对射频功率的多个脉冲而获得的。根据又一示例,为从一个基板的处理开始到结束所获得的所有反射波功率确定积分值的总和。
在步骤S3,确定计算出的积分值是否小于预定值。任意控制器可以执行此确定。根据一示例,UPC19的警报确定单元将积分值与存储在存储单元或数据存储单元21中的参考值进行比较。然后,如果积分值等于或大于参考值,则UPC19在步骤S5发出警报,或者停止基板处理。如果积分值小于参考值,则UPC19处理该过程至步骤S4;并且如果等离子体处理应基于该配方而继续,则UPC19将该过程返回到步骤S1,并且如果等离子体处理应终止,则结束该过程。
当作为ALD过程的一部分执行等离子体处理时,基板处理设备可以针对ALD的每一个循环确定积分值是否小于预定值。根据另一示例,基板处理设备确定在多个ALD循环中获得的积分值的总和是否小于预定值。
监视积分值使得可以高精度监视过程。例如,当反射波功率瞬时增加但立即收敛到0时,对过程没有实际危害,积分值变成足够小的值;因此过程可以继续。根据一示例,基板处理设备可以数字化积分值并监视数字化的积分值。基板处理设备可以将数字化的积分值与预定参考值进行比较。
根据又一示例,基板处理设备可以计算行波功率的积分值和反射波功率的积分值,并且确定是否根据积分值之间的比率来精确地执行该过程。例如,当反射波功率的积分值与行波功率的积分值之间的比率超过预定值时,控制器向用户发出警报。下面将参照图3描述这种控制的示例。
图3是示出行波功率和反射波功率的波形的示例的图。这里,示出了当施加840W的行波功率1秒时的行波功率和反射波功率。在该示例中,在施加射频功率的时刻,产生约220W的反射波功率,并且反射波功率与行波功率的比率为约26%。估计反射波功率的最大值很大,但产生反射波功率的时间段非常短,约为15毫秒,并且没有产生有效影响。在该示例中,行波功率的积分值为834.7,而反射波功率的积分值为2.86。通过将反射波功率的积分值除以行波功率和反射波功率之和而获得的比率是0.34%,该比率足够小,并且可以确定反射波功率不会对等离子体处理产生影响。在该示例中,基板处理设备确认对于射频功率的每个脉冲,积分值足够小。根据另一示例,基板处理设备监视从用于一个晶片的等离子体处理的多个脉冲或所有脉冲获得的积分值的总和。
图4是示出根据另一示例的基板处理方法的流程图。在该示例中,等离子体的发光强度将是要监视的对象。首先,在步骤S10,进行等离子体处理。在进行等离子体处理的同时,光电检测器30将关于等离子体的发光强度的信息输出到PMC20或另一控制器。关于等离子体的发光强度的信息例如是已经从等离子体光转换的电压值。该电压值称为PD电压。
在步骤S12,PMC20或UPC19计算PD电压的积分值。根据一示例,UPC19的计算单元计算PD电压的积分值。根据一示例,计算单元可以针对周期性发生的每一个等离子体发光计算积分值。根据另一示例,基板处理设备可以针对多次等离子体发光计算积分值的总和。根据又一示例,基板处理设备可以针对用于一个晶片的等离子体处理的所有等离子体发光计算积分值的总和。
在步骤S13,基板处理设备确定计算出的积分值是否在预定范围内。任意控制器可以执行此确定。根据一示例,UPC19的警报确定单元确定积分值是否在存储在存储单元或数据存储单元21中的上限和下限之间的范围内。如果积分值在预定范围内,则意味着尚未产生正常等离子体,因此警报确定单元在步骤S15发出警报。另一方面,如果积分值在预定范围内,则在步骤S14,UPC19或PMC20基于配方确定是否继续等离子体处理。如果要继续等离子体处理,则UPC19或PMC20使该过程返回到步骤S10,并实施下一等离子体处理。否则,UPC19或PMC20结束该过程。
代替确定积分值是否在预定范围内,基板处理设备可以确定积分值是否不超过上限,或者积分值是否低于下限。根据另一示例,采用另一标准。
图5是示出PD电压的示例的图。当仅监视等离子体发光的存在或不存在时,基板处理设备仅须监视PD电压是否超过例如5V的阈值。监视PD电压在预定时间段内超过5V预定次数。当在预定时间段内检测到超过5V的PD电压的次数例如比预定次数短五倍时,基板处理设备可以发出警报。除了这样的监视之外,或者代替这样的监视,在以上参考图4描述的过程中,PD电压的积分值将是要监视的对象。积分值的监视不仅可以检测等离子体的不足发光强度,而且可以检测等离子体的过度发光强度。另外,监视积分值并不意味着监视PD电压的波形,而是意味着监视区域,因此,基板处理设备可以高精度地监视过程。
图6是示出根据另一示例的基板处理设备的结构示例的图。在该示例中,匹配盒26设置有传感器26a,同时基于图1中的结构。传感器26a间接检测施加到电极比如喷头14的电压。根据一示例,传感器26a将施加到喷头14的射频功率的VPP(伏特峰到峰)输出到PMC20或UPC19。根据另一示例,传感器26a将施加到喷头14的射频功率的VDC(伏特直流电)输出到PMC20或UPC19。
控制器比如PMC20或UPC19计算VPP或VDC的积分值,并确定该积分值是否满足标准。根据一示例,控制器将VPP的积分值与阈值进行比较,并且如果积分值已超过阈值,则发出警报。根据另一示例,当VDC的积分值变为负值时,认为在平行板之间的空间以外的地方发生了放电,并且控制器发出警报。当监视VDC的积分值时,基板处理设备可以监视在一张晶片的处理期间已经测量的积分值的总和,因为VDC可以在晶片的处理期间缓慢地变化。根据另一示例,控制器可以确定多个积分值的总和是否满足在任意周期中获得的标准。根据又一示例,采用另一标准。对于已经确定积分值的有效性之后的过程,控制器如上所述继续或终止该过程。
作为是与等离子体处理相关地获得的信号的等离子体有关信号的示例,已经描述了行波功率、反射波功率、等离子体的发光强度、VPP和VDC。另一信号可以用作与等离子体有关信号。根据一示例,为了计算等离子体有关信号的积分值,可以使用记录器,其设置在控制器中或控制器的外部,并存储等离子体有关信号的历史。具体地,控制器在记录器中切出预定范围的数据,从而可以计算积分值。记录器的示例是图1中的数据存储单元21。
与监视等离子体有关信号的最大值、最小值或平均值的情况相比,监视等离子体有关信号的“积分值”可以提高过程监视的准确性。根据一示例,PMC20或UPC19可以通过软件基于积分值和参考值等之间的比较来执行积分值的计算和监视,作为其微型计算机的功能。
已经描述了RF传感器24、光电检测器30和传感器26a作为输出等离子体有关信号的“输出装置”的示例。可以使用输出等离子体有关信号的另一输出装置。通过监视等离子体有关信号的积分值,基板处理设备可以确定等离子体处理是否已经正确执行,或者等离子体处理是否正在正确执行。
图7是示出根据另一示例的基板处理设备的结构示例的图。在该示例中,气体的流量将是要监视的对象。该基板处理设备包括:由PMC20控制的质量流量控制器(MFC)50;以及MFC54和RF供应器60。MFC50控制从气体源52供应到室10中的气体的流量。MFC54控制从气体源56供应到室10中的气体的流量。这些控制可以在配方的基础上执行。MFC50和54可以由具有相同功能的任意气体供应器代替。
图8是示出使用图7的设备的基板处理方法的示例的流程图。在步骤S21,从MFC50和MFC54中的至少一个向室10中提供预定流量的气体脉冲。MFC50或MFC54向PMC20、UPC19或另一控制器提供关于已经通过气体脉冲提供到室中的气体的流量的信息。在步骤S22,控制器基于接收到的信息来计算流量的积分值,并且例如如在步骤S23至S25所示监视该积分值。根据一示例,控制器确定积分值是否在预定范围内,并且如果积分值不在预定范围内,则发出警报。
根据一示例,可以在脉冲CVD中采用对积分值的此类监视,这是在形成等离子体的同时以脉冲形式提供气体的过程。仅在如此短的时间(例如第一个小数位的几秒)内提供一个气体脉冲。根据一示例,PMC20发出这样的命令,以向气体供应器供应约0.1秒至几秒内流量例如为Xml(X是任意数)的气体脉冲;并且气体供应器执行此命令。通过监视上述积分值,基板处理设备可以检查已经提供了适当流量的气体脉冲。
上述特定示例中描述的技术特征可以应用于其他示例中包括的设备或方法。

Claims (17)

1.一种基板处理设备,包括:
输出装置,其配置为输出等离子体有关信号,该等离子体有关信号是与等离子体处理相关地获得的信号;以及
控制器,其配置为监视所述等离子体有关信号的积分值。
2.根据权利要求1所述的基板处理设备,其中,所述控制器配置为数字化所述积分值并监视数字化的积分值。
3.根据权利要求1或2所述的基板处理设备,其中,所述输出装置包括RF传感器,该RF传感器配置为将其上反映射频功率的反射波功率及行波功率的大小的信号作为所述等离子体有关信号输出到所述控制器。
4.根据权利要求3所述的基板处理设备,其中,当所述反射波功率的积分值与所述行波功率的积分值之比超过预定值时,所述控制器配置为向用户通知异常。
5.根据权利要求1或2所述的基板处理设备,其中,所述输出装置包括光电检测器,其配置为将等离子体的发光强度作为所述等离子体有关信号输出至所述控制器。
6.根据权利要求5所述的基板处理设备,其中,所述控制器配置为针对周期性发生的等离子体发光的每一个计算所述积分值。
7.根据权利要求6所述的基板处理设备,其中,所述控制器配置为确定积分值中的每个是否满足标准。
8.根据权利要求6所述的基板处理设备,其中,所述控制器配置为确定多个积分值之和是否满足标准。
9.根据权利要求1或2所述的基板处理设备,其中,所述输出装置包括传感器,其配置为将施加至喷头的射频功率的VPP(伏特峰到峰)作为所述等离子体有关信号输出至所述控制器。
10.根据权利要求1或2所述的基板处理设备,其中,所述输出装置包括传感器,其配置为将施加至喷头的射频功率的VDC(伏特直流电)作为所述等离子体有关信号输出至所述控制器。
11.根据权利要求10所述的基板处理设备,其中,所述控制器配置为确定多个积分值之和是否满足标准。
12.一种基板处理设备,包括:
气体供应器,其配置为向室提供气体脉冲并输出关于通过该气体脉冲提供给所述室的气体的流量的信息;以及
控制器,其配置为监视关于所述流量的信息的积分值。
13.根据权利要求12所述的基板处理设备,其中,所述控制器配置为确定所述积分值是否在预定范围内。
14.一种基板处理方法,包括:
对基板进行等离子体处理;以及
监视等离子体有关信号的积分值,该等离子体有关信号是与所述等离子体处理相关地获得的信号。
15.根据权利要求14所述的基板处理方法,其中,所述等离子体有关信号是与射频功率的一个脉冲相关地获得的信号。
16.根据权利要求14所述的基板处理方法,其中,所述等离子体有关信号是与射频功率的多个脉冲相关地获得的信号。
17.根据权利要求14至16中任一项所述的基板处理方法,其中,所述等离子体处理是ALD过程的一部分。
CN202110052988.6A 2020-01-17 2021-01-15 用于监视积分值的基质处理设备和基板处理方法 Pending CN113140438A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202062962799P 2020-01-17 2020-01-17
US62/962,799 2020-01-17

Publications (1)

Publication Number Publication Date
CN113140438A true CN113140438A (zh) 2021-07-20

Family

ID=76810430

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110052988.6A Pending CN113140438A (zh) 2020-01-17 2021-01-15 用于监视积分值的基质处理设备和基板处理方法

Country Status (3)

Country Link
US (1) US20210225622A1 (zh)
KR (1) KR20210093758A (zh)
CN (1) CN113140438A (zh)

Families Citing this family (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116015092A (zh) * 2021-10-22 2023-04-25 台达电子工业股份有限公司 脉波直流电源产生器及其灭弧参数自动调整方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4210795A (en) * 1978-11-30 1980-07-01 Litton Systems, Inc. System and method for regulating power output in a microwave oven
US6255635B1 (en) * 1998-07-10 2001-07-03 Ameritherm, Inc. System and method for providing RF power to a load
US20040149219A1 (en) * 2002-10-02 2004-08-05 Tomohiro Okumura Plasma doping method and plasma doping apparatus
JP2005085917A (ja) * 2003-09-08 2005-03-31 Sharp Corp プラズマプロセス装置
GB2508829A (en) * 2012-12-11 2014-06-18 Broadcom Corp Signal measurement with extrapolation
US9536713B2 (en) * 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
EP3029711B1 (en) * 2014-12-03 2019-10-16 Comet AG Frequency tuning of a RF-generator within a plasma process
US10158400B2 (en) * 2014-12-12 2018-12-18 The Ametek Power Instruments Frequency selective power monitor
US11082015B2 (en) * 2018-11-05 2021-08-03 Andrew Wireless Systems Gmbh Methods and apparatuses for reflection measurements

Also Published As

Publication number Publication date
US20210225622A1 (en) 2021-07-22
KR20210093758A (ko) 2021-07-28

Similar Documents

Publication Publication Date Title
CN113140438A (zh) 用于监视积分值的基质处理设备和基板处理方法
TWI477204B (zh) 在反應性氣體產生器中提供電源以激發及維持電漿之方法及裝置
CN112543989A (zh) 对径向蚀刻均匀度的主动控制
JP5583603B2 (ja) アーク検出システムおよび方法
US7292047B2 (en) High-frequency power source
KR20150051897A (ko) 플라즈마 처리 장치
TWI599270B (zh) 用於多頻率射頻脈動之頻率增強阻抗相依的功率控制
KR101606736B1 (ko) 플라즈마 프로세싱 챔버에서 플라즈마 불안정성을 검출하기 위한 패시브 용량성-결합된 정전식 (cce) 프로브 장치
KR102460199B1 (ko) 이상 검지 방법 및 반도체 제조 장치
TW201345326A (zh) 無線電波產生器的功率和頻率雙向自動調整系統和方法
US11929235B2 (en) Systems and methods for tuning a MHz RF generator within a cycle of operation of a kHZ RF generator
US20230298857A1 (en) Systems and Methods for Extracting Process Control Information from Radiofrequency Supply System of Plasma Processing System
WO2020018683A1 (en) Real-time control of temperature in a plasma chamber
KR20060120438A (ko) 플라즈마 공정의 비정상적 작동을 검출하는 방법
US9666417B2 (en) Plasma processing apparatus and method for monitoring plasma processing apparatus
US20220037135A1 (en) Anomalous plasma event detection and mitigation in semiconductor processing
JP2015015282A (ja) 半導体装置の製造方法およびプラズマ処理装置
US20240055244A1 (en) Pulsed voltage compensation for plasma processing applications
KR102464626B1 (ko) 플라스마 처리 장치 및 제어 방법
JP2024514105A (ja) プラズマシース特性を制御するためのシステムおよび方法
US20220406578A1 (en) Detection and location of anomalous plasma events in fabrication chambers
US11940785B2 (en) Method for predicting maintenance for components used in substrate treatments, and predictive maintenance device
TW201536116A (zh) 基於射頻阻抗模型之故障檢測

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination