CN113064853A - 存储器内处理 - Google Patents

存储器内处理 Download PDF

Info

Publication number
CN113064853A
CN113064853A CN202110381910.9A CN202110381910A CN113064853A CN 113064853 A CN113064853 A CN 113064853A CN 202110381910 A CN202110381910 A CN 202110381910A CN 113064853 A CN113064853 A CN 113064853A
Authority
CN
China
Prior art keywords
pim
memory
operation command
host computing
computing device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110381910.9A
Other languages
English (en)
Inventor
P·V·莱亚
T·P·芬克拜纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN113064853A publication Critical patent/CN113064853A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/78Architectures of general purpose stored program computers comprising a single central processing unit
    • G06F15/7807System on chip, i.e. computer system on a single chip; System in package, i.e. computer system on one or more chips in a single package
    • G06F15/7821Tightly coupled to memory, e.g. computational memory, smart memory, processor in memory
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0602Interfaces specially adapted for storage systems specifically adapted to achieve a particular effect
    • G06F3/061Improving I/O performance
    • G06F3/0611Improving I/O performance in relation to response time
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0628Interfaces specially adapted for storage systems making use of a particular technique
    • G06F3/0655Vertical data movement, i.e. input-output transfer; data movement between one or more hosts and one or more storage devices
    • G06F3/0659Command handling arrangements, e.g. command buffers, queues, command scheduling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0668Interfaces specially adapted for storage systems adopting a particular infrastructure
    • G06F3/0671In-line storage system
    • G06F3/0673Single storage device
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Human Computer Interaction (AREA)
  • Computing Systems (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Dram (AREA)

Abstract

本申请涉及存储器内处理。提供了用于存储器内处理的设备和方法。实例设备包括主机和具存储器内处理PIM能力的装置,所述具PIM能力的装置经由包括旁带通道的接口耦合到所述主机。所述具PIM能力的装置包括耦合到感测电路的存储器单元阵列,且配置成对存储在所述阵列中的数据执行位向量运算,且所述主机包括PIM控制组件以在经由所述旁带通道提供数个对应位向量运算到所述具PIM能力的装置之前为PIM操作执行虚拟地址解析。

Description

存储器内处理
本申请为发明名称为“存储器内处理”、申请号为201880055104.9、申请日为2018年8月21日的中国发明专利申请的分案申请。
技术领域
本公开大体上涉及半导体存储器和方法,且更确切地说,涉及用于存储器内处理的设备和方法。
背景技术
存储器装置通常被提供为计算机或其它计算系统中的内部半导体集成电路。存在许多不同类型的存储器,包含易失性和非易失性存储器。易失性存储器可能需要功率以维持其数据(例如主机数据、误差数据等)且包含随机存取存储器(random access memory,RAM)、动态随机存取存储器(dynamic random access memory,DRAM)、静态随机存取存储器(static random access memory,SRAM)、同步动态随机存取存储器(synchronous dynamicrandom access memory,SDRAM)和闸流体随机存取存储器(thyristor random accessmemory,TRAM)等等。非易失性存储器可通过在未被供电时保持所存储数据来提供持久数据,并可包含NAND快闪存储器、NOR快闪存储器和电阻可变存储器,例如相变随机存取存储器(phase change random access memory,PCRAM)、电阻式随机存取存储器(resistiverandom access memory,RRAM)和磁阻式随机存取存储器(magnetoresistive randomaccess memory,MRAM),例如自旋力矩转移随机存取存储器(spin torque transferrandom access memory,STT RAM)等等。
计算系统通常包含数个处理资源(例如一或多个处理器),所述处理资源可检索并执行指令并将所执行指令的结果存储到合适的位置。处理资源(例如CPU)可包括数个功能单元,例如算术逻辑单元(arithmetic logic unit,ALU)电路、浮点单元(floating pointunit,FPU)电路和/或组合逻辑块,其例如可用以通过执行逻辑运算(例如AND、OR、NOT、NAND、NOR和XOR)来执行指令且求逆(例如逆转)对数据(例如一或多个操作数)进行的逻辑运算。举例来说,功能单元电路可用于经由数个逻辑运算对操作数执行算术运算,例如加法、减法、乘法和/或除法。
计算系统中的数个组件可涉及向功能单元电路提供指令以供执行。所述指令可例如由例如控制器和/或主机处理器等处理资源执行。数据(例如将对其执行指令的操作数)可存储于可由功能单元电路存取的存储器阵列中。指令和/或数据可从存储器阵列检索,并且在功能单元电路开始对数据执行指令之前进行排序和/或缓冲。此外,由于可通过功能单元电路在一或多个时钟循环中执行不同类型的操作,因此指令和/或数据的中间结果也可进行排序和/或缓冲。在一或多个时钟循环中完成操作的序列可被称为操作循环。就计算装置和/或系统的处理和计算性能及功率消耗而言,完成操作循环所消耗的时间成本高。
在许多情况下,处理资源(例如处理器和/或相关联功能单元电路)可在存储器阵列外部,且经由处理资源与存储器阵列之间的总线存取数据以执行一组指令。处理性能可在存储器内处理器(processor-in-memory,PIM)装置中得以改善,其中可在存储器内部和/或接近存储器实施处理器(例如在同一芯片上将处理器直接实施为存储器阵列)。
附图说明
图1为呈包含根据本公开的数个实施例的用于存储器内处理的存储器装置的计算系统形式的设备的框图。
图2为说明根据本公开的数个实施例的存储器装置的一部分的示意图。
图3为说明根据本公开的数个实施例的存储器装置的另一部分的示意图。
图4为说明根据本公开的数个实施例的使用图3中展示的电路实施的逻辑运算结果的逻辑表。
具体实施方式
本公开包含与存储器内处理相关联的设备和方法。在一个实例实施例中,实例设备包括主机和具存储器内处理(PIM)能力的装置,所述具PIM能力的装置经由包括旁带通道的接口耦合到主机。具PIM能力的装置包括耦合到感测电路的存储器单元阵列,且配置成对存储在所述阵列中的数据执行位向量运算,且主机包括PIM控制组件以在经由旁带通道提供数个对应位向量运算到具PIM能力的装置之前为PIM操作执行虚拟地址解析。
感测电路包含感测放大器和计算组件。在一些实施例中,设备可包含PIM控制装置(例如位向量运算电路),所述PIM控制装置可包含定时电路和/或时序管理电路。PIM控制装置可配置成控制阵列的操作的时序,且接收逻辑运算命令以能够执行存储器操作。在一些实施例中,PIM控制装置可配置成响应于从主机接收命令而执行仲裁和/或控制布尔(Boolean)函数的执行的时序。
如本文中所使用,具存储器内处理(PIM)能力的装置是指能够使用存储器装置内部的处理资源(例如无需转移数据到外部处理资源,例如主机处理器)对存储在存储器单元阵列中的数据执行逻辑运算的存储器装置。举例来说,具PIM能力的装置可包含耦合到感测电路的存储器阵列,所述感测电路包括可操作为1位处理元件(例如以在每列基础上执行并行处理)的感测组件。除了可称为“位向量运算”的“存储器内”执行的逻辑运算之外,具PIM能力的装置还可执行存储器操作。举例来说,具PIM能力的装置可包含利用存储器操作的动态随机存取存储器(DRAM)阵列,所述存储器操作包含存储器存取操作,例如读取(例如加载)和写入(例如存储),以及并不涉及对数据进行操作(例如通过对数据执行布尔运算)的其它操作。举例来说,取决于(例如由主机)正执行的程序的类型,具PIM能力的装置可将DRAM阵列操作为“正常”DRAM阵列和/或操作为PIM DRAM阵列,其可包含存储器操作和位向量运算两者。举例来说,位向量运算可包含逻辑运算,例如布尔运算(例如AND、OR、XOR等),及转移运算,例如移位阵列中的数据值及例如对数据值求逆。
如本文中所使用,PIM操作可以指与利用具PIM能力的装置来执行存储器内处理相关联的各种操作。操作层级可用以定义PIM操作。举例来说,操作层级中的第一(例如最低)级可包含位向量运算(例如基本逻辑运算,其可称为“原始”运算)。层级中的下一(例如中间)级可包含复合运算,其包括多个位向量运算。举例来说,复合运算可包含例如加法、乘法等数学运算,所述数学运算可包括数个逻辑AND、OR、XOR、移位等。层级中的第三(例如最高)级可包含与执行程序相关联的控制流操作(例如循环、分支等),程序的执行涉及使用具PIM能力的装置执行处理。
如本文更详细地描述,PIM操作可由包括具PIM能力的装置的系统内的各个组件执行。举例来说,可定位于主机上的第一PIM控制组件(例如控制逻辑,其可称为“标量单元”)可执行控制流操作且提供复合运算到第二PIM控制组件(例如定序器),所述第二PIM控制组件也可定位于所述主机上。在数个实施例中,第二控制组件可提供低级位向量运算到定位于具PIM能力的装置上的PIM控制组件(例如位向量定时电路),其可随后在存储器内执行位向量运算并将结果传回到主机。如在本文中进一步描述,用于在具PIM能力的装置与主机之间转移PIM操作的接口可包含旁带通道,所述旁带通道可以是与用于转移命令、地址和/或数据的典型存储器接口(例如DDR接口)分离的总线。另外,在数个实施例中,提供主机上的PIM控制组件可提供例如允许PIM程序使用虚拟寻址(例如由于具PIM能力的装置可仅对物理地址进行操作而通过解析主机上的虚拟地址)的益处。
与存储器装置相关联的定时电路和控制逻辑可处于不同时钟域中且/或在不同时钟速度下操作。在至少一个实施例中,定时电路与用于控制用于阵列(例如在DRAM阵列中)的读取和写入存取请求的其它控制寄存器(例如双数据速率(double data rate,DDR)寄存器)分离。
在本公开的以下详细描述中,参考形成本公开的部分的附图,且图中通过说明的方式展示了可实践本公开的一或多个实施例的方式。足够详细地描述这些实施例以使得所属领域的一般技术人员能够实践本公开的实施例,且应理解,可利用其它实施例,且可在不脱离本公开的范围的情况下进行工艺、电气和/或结构改变。如本文中所使用,如“N”、“M”等的指定符,尤其是关于图式中的参考标号,指示可包含数个如此指定的特定特征。如本文中所使用,“数个”特定事物可指这类事物中的一或多个(例如,数个存储器阵列可指一或多个存储器阵列)。“多个”意欲指代超过一个这类事物。
本文中的图遵循编号定则,其中第一一或多个数字对应于图号,且剩余的数字标识图式中的元件或组件。可通过使用类似数字来标识不同图式之间的类似元件或组件。举例来说,206可表示图2中的元件“06”,且类似元件可在图3中表示为306。如应了解,可添加、交换和/或去除本文中的各种实施例中展示的元件,从而提供本公开的数个额外实施例。另外,如应了解,图中提供的元件的比例和相对标度意欲说明本发明的某些实施例,且不应以限制性意义理解。
图1为呈包含根据本公开的数个实施例的用于存储器内处理的存储器装置120(例如“具PIM能力的装置”)的计算系统100形式的设备的框图。存储器装置120在本文中可以被称为“具PIM能力的装置”或“具PIM能力的存储器装置”。具PIM能力的装置可包括耦合到感测电路的存储器单元阵列,如在本文中更详细地描述。如本文中所使用,也可将用于存储器内处理的存储器装置120、控制器140、通道控制器143、存储器阵列130和/或感测电路150单独地视为“设备”。
系统100包含耦合(例如连接)到用于存储器内处理的存储器装置120的主机111,所述存储器装置120包含存储器阵列130。主机111可以是例如个人笔记本电脑、台式计算机、数码相机、智能手机或存储卡读卡器等主机系统,以及各种其它类型的主机。主机111可包含系统母板和/或底板,且可包含数个处理资源(例如一或多个处理器、微处理器或一些其它类型的控制电路)。系统100可包含单独集成电路,或主机111和用于存储器内处理的存储器装置120两者可以是同一集成电路的一部分(例如在同一芯片上)。举例来说,系统100可以是服务器系统和/或高性能计算(high performance computing,HPC)系统和/或其一部分。虽然图1中展示的实例说明具有冯诺依曼(Von Neumann)架构的系统,但本公开的实施例可实施与非冯诺依曼架构中,所述非冯诺依曼架构可不包含通常与冯诺依曼架构相关联的一或多个组件(例如CPU、ALU等)。
在一些实施例中,在用于存储器内处理的存储器装置120使用物理寻址时,主机111使用虚拟寻址。为了对用于存储器内处理的存储器装置120执行PIM操作(例如为了使用用于存储器内处理的存储器装置120执行位向量运算),由主机111使用的虚拟地址必须转译为对应的物理地址,所述对应的物理地址由用于存储器内处理的存储器装置120使用。在一些实施例中,PIM控制组件(例如控制逻辑131)和/或存储器管理单元(memorymanagement unit,MMU)控制器134可执行地址解析以将由主机111使用的虚拟地址转译为由用于存储器内处理的存储器装置120使用的各别物理地址。在一些实施例中,PIM控制组件可在经由旁带通道157提供数个对应位向量运算到存储器装置120(例如具PIM能力的装置)之前为PIM操作执行虚拟地址解析。
主机111可包含各种组件,其包含PIM控制组件(例如控制逻辑131、定序器132)、通道控制器143和MMU控制器134。控制逻辑131可配置成执行与执行PIM程序相关联的控制流命令且将复合命令提供到定序器132。控制逻辑131可为或可包含RISC类型控制器,所述RISC类型控制器配置成生成并发布可扩展的复合运算PIM命令集到定序器132,所述可扩展的复合运算PIM命令集包含不同于DDR命令的命令。在一些实施例中,控制逻辑131可配置成发布复合运算命令以使得对存储器装置120执行位向量运算。在一些实施例中,复合运算命令可(例如经由定序器132和旁带通道157)从控制逻辑131转移到用于存储器内处理的存储器装置120。如图1中所展示,主机111(和控制逻辑131、定序器132和/或MMU控制器134)可定位成物理上与存储器装置120和/或阵列130分离。
在一些实施例中,控制逻辑131可将微码指令解码成由定序器132实施的与执行位向量运算相关联的函数调用,所述函数调用可为微码函数调用。微码函数调用可以是定序器132接收和/或执行以使得用于存储器内处理的存储器装置120使用例如感测电路150的感测电路执行特定位向量运算的操作。
如图1中所展示,控制逻辑131和MMU控制器134定位于主机111上,所述主机111可允许控制逻辑131和/或MMU控制器134存取存储在主机111上的虚拟地址,并且在将指令转移到用于存储器内处理的存储器装置120之前对存储在主机111上的物理地址执行虚拟到物理地址解析。
如本文中所使用,“位向量”可指物理上连续的位数,无论是在行(例如水平地定向)还是列(例如竖直地定向)上物理上连续。“具PIM能力的装置”是指配置成对虚拟地址空间的数个连续部分(例如“组块”)执行位向量运算,例如逻辑运算和/或转移运算。举例来说,虚拟地址空间的组块可具有256个位元的位长度。组块可或可不与虚拟地址空间中的其它组块依序相连。
MMU控制器134可存在于主机111上,如图1中所展示。在一些实施例中,MMU控制器可以是标准MMU控制器,例如
Figure BDA0003013334370000061
MMU-500MMU控制器。MMU控制器可负责执行虚拟存储器地址(例如与主机111相关联的地址)到物理地址(例如与用于存储器内处理的存储器装置120相关联的地址)的转译。MMU控制器134还可执行存储器保护操作、高速缓存控制和/或总线仲裁操作。
定时电路133可提供时序以协调逻辑运算的执行,并且负责提供对阵列,例如图1中的阵列130的无冲突存取。在各种实施例中,控制器140和/或时序管理电路135可生成状态信息,所述状态信息可例如经由旁带通道157转移到主机111或从主机111转移。旁带通道157可独立于双数据速率(DDR)存储器接口(例如控制总线154)(例如与其分离),所述双数据速率存储器接口可用于在主机111与用于存储器内处理的存储器装置120之间转移(例如传递)DDR命令。也就是说,在一些实施例中,旁带通道157可用于将用以使得执行位向量运算的命令从主机111转移到用于存储器内处理的存储器装置120,同时控制总线154用于将DRAM命令从主机111转移到用于存储器内处理的存储器装置120。在一些实施例中,经由控制总线154转移的DRAM命令可以是用以控制DRAM,例如DDR1 SDRAM、DDR2 SDRAM、DDR3SDRAM和/或DDR4 SDRAM的操作的命令。
在一些实施例中,定序器132可包含超大指令字(very large instruction word,VLIW)类型控制器,其配置成对逻辑运算命令进行操作,且控制逻辑131可配置成响应于来自主机111的处理资源(未展示)的信号而发布逻辑运算命令到定序器132。举例来说,定序器可配置成对多个逻辑运算进行排序以使得复合运算命令可由定序器132发布。在一些实施例中,控制逻辑131可配置成生成VLIW作为位向量运算命令。VLIW可包括微码指令。定序器132可以是或可包含配置成将VLIW解码成多个单独微码指令的VLIW类型控制器。举例来说,定序器132可将VLIW解码成用以使得执行复合运算(例如ADD、MULTIPLY等)的指令。在一些实施例中,复合运算命令可提供进入VLIW指令序列的入口点,以使得执行这类复合运算。定序器132可耦合到用于存储器内处理的存储器装置120,且可经由旁带通道157将用以协调位向量运算的命令传递到用于存储器内处理的存储器装置120。多个微码指令可通过定序器132自身和/或通过用于存储器内处理的存储器装置120中的其它组件(例如位向量运算定时电路139、定时电路133、时序管理电路135和/或感测电路150)依序和/或并行地执行。
为了清楚起见,已简化系统100以聚焦于与本公开特别相关的特征。存储器阵列130可以是例如DRAM阵列、SRAM阵列、STT RAM阵列、PCRAM阵列、TRAM阵列、RRAM阵列、NAND闪存阵列,和/或NOR闪存阵列。阵列130可包含以通过存取线(其可在本文中被称为字线或选择线)耦合的行和通过感测线(其可在本文中被称为数据线或数字线)耦合的列配置的存储器单元。虽然在图1中展示单个阵列130,但实施例不限于此。举例来说,用于存储器内处理的存储器装置120可包含数个阵列130(例如数个DRAM单元组、NAND闪存单元等)。
用于存储器内处理的存储器装置120包含地址电路142以锁存通过I/O电路144经由总线156(例如数据/地址总线)提供的数据的地址信号。状态和/或异常信息可通过包含旁带通道157的高速接口(high speed interface,HSI)从用于存储器内处理的存储器装置120上的控制器140提供到通道控制器143,所述状态和/或异常信息又可从通道控制器143提供到主机111。地址信号通过地址电路142接收,且由行解码器146和列解码器152解码以存取存储器阵列130。通过使用感测电路150感测数字线上的电压和/或电流变化,可从存储器阵列130读取数据。感测电路150可从存储器阵列130读取和锁存数据的页面(例如行)。I/O电路144可用于经由总线156与主机111进行双向数据通信。写入电路148可用于将数据写入到存储器阵列130。在一些实施例中,总线154可用作控制和地址总线两者以进行DRAM控制及寻址(例如根据其中总线154充当单向数据总线的DDR协议)。虽然图1中展示为单独总线,但在一些实施例中,总线154和总线156可能并不是单独总线。
控制器140(例如存储器控制器)对由控制总线154提供的来自主机111的信号进行解码。这些信号可包含芯片启用信号、写入启用信号和地址锁存信号,所述信号用于控制对存储器阵列130执行的DRAM操作,所述操作包含数据读取、数据写入和数据擦除操作。在各种实施例中,控制器140负责执行来自主机111的指令且测序对阵列130的存取。控制器140可以是状态机、定序器或一些其它类型的控制器,且包含呈专用集成电路(applicationspecific integrated circuit,ASIC)形式的硬件和/或固件(例如微码指令)。在数个实施例中,控制器140可包含位向量运算定时电路139。控制器140可控制例如根据本文所描述的实施例的感测电路。举例来说,控制器140可控制时钟信号的生成和时钟信号的应用以与执行位向量运算相关联地计算分量。
如图1中所展示,位向量运算定时电路139可包含定时电路133和时序管理电路135。定时电路133可包含FIFO缓冲器,以提供与感测电路150的时序协调,所述感测电路150与存储器单元阵列130相关联。在一些实施例中,定时电路133可以是例如原子状态机的状态机。
控制逻辑131、定序器132和/或MMU控制器134可辅助解析主机111与RAS 136、阵列130和/或感测电路150之间解码的虚拟存储器到物理存储器。举例来说,在一些实施例中,主机111可利用虚拟存储器寻址,而与用于存储器内处理的存储器装置120相关联的组件(例如控制器140、RAS 136、阵列130、感测电路150等)可利用物理寻址。
在一些实施例中,定序器132和/或控制逻辑131可配置成使用转译后备缓冲器(translation lookaside buffer,TLB)和/或MMU控制器134来将虚拟地址解析为物理地址。这些解析的地址可经由旁带通道157传递到控制器140和/或位向量运算定时电路139。解析的物理地址可随后由位向量运算定时电路使用以使用阵列130和/或感测电路150协调位向量运算的执行。
通过在主机111处将虚拟地址解析为物理地址,例如通过使用定序器132和/或控制逻辑131来将虚拟地址解析为物理地址,可减轻对在启动计算装置100时固定存储器的依赖。另外,在一些实施例中,可通过控制逻辑131和/或定序器132标识和/或校正错误地址解析,这可允许改进阵列130中数据值的对齐。
时序管理电路135可配置成协调逻辑运算的时序(例如逻辑运算的序列),所述逻辑运算的时序与位向量运算相关联,使用与阵列130相关联的行地址选通(row addressstrobe,RAS)组件136执行。RAS组件136可配置成发送RAS信号到存储器阵列130和/或从存储器阵列130接收RAS信号以标识和/或选择阵列130的行地址。在一些实施例中,用于存储器内处理的存储器装置120可配置成执行DRAM操作,例如存储器阵列存取请求,其可经由总线154由主机111发布。在一些实施例中,时序管理电路135可配置成执行用以控制位向量运算的执行时序的指令。
在一或多个实施例中,控制器140的部分(例如位向量运算定时电路139、定时电路133和/或时序管理电路135)可以是在32和/或64位长度指令上操作的精简指令集计算机(RISC)类型控制器。在各种实施例中,时序管理电路135负责执行从定时电路133接收的指令,以使得执行涉及与感测电路150相关联的数据值的位向量运算。
如下文中进一步描述,在数个实施例中,感测电路150可包含多个感测组件,所述多个感测组件可各自包含感测放大器和计算组件。计算组件可用作累加器,且感测电路可用以执行(例如对与互补数字线相关联的数据)位向量运算。在数个实施例中,感测电路150可用以使用存储在阵列130中的数据作为输入来执行位向量运算,且/或将运算的结果存储回到阵列130而无需经由数字线地址存取转移数据(例如无需发射列解码信号)。举例来说,各种运算(例如位向量运算)可使用感测电路150执行且在感测电路150内执行,而非由感测电路外部的处理资源(例如由与主机111和/或定位于用于存储器内处理的存储器装置120上(例如在控制器140上或其它地方)的其它处理电路(例如ALU电路)相关联的处理资源)执行(或与其相关联)。在数个实施例中,感测电路150(例如数个感测组件)可用以按单指令多数据(single instruction multiple data,SIMD)方式执行位向量运算,其中感测组件用作每列基础上的1位处理元件。在感测电路150执行位向量运算的实施例中,其可用作和/或称为存储器内处理器。
在各种先前方法中,举例来说,将经由感测电路从存储器读取与操作数相关联的数据,并经由I/O线(例如经由本地I/O线和全局I/O线)将所述数据提供到外部ALU电路。外部ALU电路可包含数个寄存器并将使用操作数执行位向量运算,并且结果将经由I/O线转移回阵列。相比之下,在本公开的数个实施例中,感测电路150配置成对存储在存储器阵列130中的数据执行位向量运算并且将结果存储回到存储器阵列130而无需启用耦合到感测电路150的I/O线(例如本地I/O线)。感测电路150可与阵列的存储器单元按间距形成。举例来说,计算组件可符合与阵列的相邻数字线相同的间距,使得计算组件和感测放大器遵从特定感测线间距限制(例如4F、6F等,其中“F”为特征大小)。
在数个实施例中,可能并不需要阵列130外部的电路和感测电路150来执行运算,这是因为在不使用外部处理资源的情况下,感测电路150可执行适当的位向量运算。因此,感测电路150可用于至少在某一程度上补充和/或更换这类外部处理资源(或至少这类外部处理资源的带宽消耗)。
然而,在数个实施例中,感测电路150可用于执行除了由外部处理资源(例如主机111)执行的逻辑运算之外的逻辑运算(例如用以执行指令)。举例来说,主机111和/或感测电路150可能限于仅执行某些逻辑运算和/或特定数目的逻辑运算。
启用I/O线可包含启用(例如接通)具有耦合到解码信号(例如列解码信号)的栅极和耦合到I/O线的源极/漏极的晶体管。然而,实施例不限制于在无需启用阵列的列解码线的情况下使用感测电路(例如150)执行逻辑运算。无论是否与经由感测电路150执行逻辑运算相关联地使用本地I/O线,所述本地I/O线可经启用以便将结果转移到除了回到阵列130以外的合适位置(例如到外部寄存器)。
图2为说明根据本公开的数个实施例的存储器装置的一部分的示意图。感测组件250表示可对应于图1中展示的感测电路150的数个感测组件中的一个。
在图2中展示的实例中,存储器阵列230是1T1C(一个晶体管一个电容器)存储器单元的DRAM阵列,其中晶体管用作存取装置且电容器用作存储元件;但可使用其它配置实施例(例如2T2C,其中每存储器单元两个晶体管和两个电容器)。在这一实例中,第一存储器单元包括晶体管202-1和电容器203-1,且第二存储器单元包括晶体管202-2和电容器203-2,等等。在数个实施例中,存储器单元可以是破坏性读取存储器单元(例如读取存储于单元中的数据会破坏数据使得最初存储在单元中的数据在被读取之后刷新)。
存储器阵列230的单元可以通过存取线204-X(行X)、204-Y(行Y)等耦合的行和通过互补感测线对(例如图2中的标记为DIGIT(n)的数字线205-1和标记为DIGIT(n)_的205-2)耦合的列配置。虽然在图2中仅展示一对互补数字线,但本公开的实施例不限于此,且存储器单元的阵列可包含存储器单元和数字线的额外列(例如4,096、8,192、16,384等)。
存储器单元可耦合到不同数字线和字线。举例来说,在这一实例中,晶体管202-1的第一源极/漏极区耦合到数字线205-1,晶体管202-1的第二源极/漏极区耦合到电容器203-1,且晶体管202-1的栅极耦合到字线204-Y。晶体管202-2的第一源极/漏极区耦合到数字线205-2,晶体管202-2的第二源极/漏极区耦合到电容器203-2,且晶体管202-2的栅极耦合到字线204-X。如图2中所展示,单元板可耦合到电容器203-1和203-2中的每一个。单元板可以是可在各种存储器阵列配置中向其施加参考电压(例如接地)的共同节点。
存储器阵列230的数字线205-1和205-2耦合到根据本公开的数个实施例的感测组件250。在这一实例中,感测组件250包括对应于存储器单元的各别列(例如耦合到各别对互补数字线)的感测放大器206和计算组件231。感测放大器206耦合到所述对互补数字线205-1和205-2。计算组件231经由通过门207-1和207-2耦合到感测放大器206。通过门207-1和207-2的栅极可耦合到选择逻辑213。
选择逻辑213可包含用于控制通过门的通过门逻辑和用于控制交换栅极的交换栅极逻辑,所述通过门耦合在感测放大器206与计算组件231之间未换位的所述对互补数字线,所述交换栅极耦合在感测放大器206与计算组件231之间换位的所述对互补数字线。选择逻辑213可耦合到所述对互补数字线205-1和205-2,且配置成对存储在阵列230中的数据执行逻辑运算。举例来说,选择逻辑213可配置成基于经执行的选定逻辑运算控制通过门207-1和207-2的连续性(例如接通/关闭)。
可操作感测放大器206以确定存储在选定存储器单元中的数据值(例如逻辑状态)。感测放大器206可包含交叉耦合的锁存器215(例如一对晶体管(例如n沟道晶体管227-1和227-2)的栅极与另一对晶体管(例如p沟道晶体管229-1和229-2)的栅极交叉耦合),所述交叉耦合锁存器215可在本文中称为主锁存器。然而,实施例不限于这一实例。
在操作中,当正感测(例如读取)存储器单元时,数字线205-1或205-2中的一个上的电压将略微大于数字线205-1或205-2中的另一个上的电压。ACT信号和RNL*信号可驱动为低以启用(例如发射)感测放大器206。具有更低电压的数字线205-1或205-2将把晶体管229-1或229-2中的一个接通到比晶体管229-1或229-2中的另一个更大的程度,由此将具有更高电压的数字线205-1或205-2驱动高到比将另一数字线205-1或205-2驱动高更大的程度。
类似地,具有更高电压的数字线205-1或205-2将把晶体管227-1或227-2中的一个接通到比晶体管227-1或227-2中的另一个更大的程度,由此将具有更低电压的数字线205-1或205-2驱动低到比将另一数字线205-1或205-2驱动低更大的程度。因此,在短延迟之后,具有略微更大的电压的数字线205-1或205-2被驱动成穿过源晶体管的供应电压VCC的电压,且另一数字线205-1或205-2被驱动成穿过吸收晶体管的参考电压(例如接地)的电压。因此,交叉耦合的晶体管227-1和227-2以及晶体管229-1和229-2用作感测放大器对,其放大数字线205-1和205-2上的差分电压并用以锁存从选定存储器单元感测到的数据值。
实施例不限制于图2中所说明的感测组件配置。作为实例,感测放大器206可以是电流模式感测放大器和/或单端感测放大器(例如耦合到一个数字线的感测放大器)。而且,本公开的实施例不限于折叠式数字线架构,例如图2中所展示的折叠式数字线架构。
如下文中进一步描述,感测组件250可以是选择性地耦合到共用I/O线的多个感测组件中的一个。因此,感测组件250可与求逆存储在根据本公开的数个实施例的存储器中的数据相关联地使用。
在这一实例中,感测放大器206包含平衡电路214,其可配置成平衡数字线205-1和205-2。平衡电路214包括耦合在数字线205-1与205-2之间的晶体管224。平衡电路214还包括各自具有耦合到平衡电压(例如VDD/2)的第一源极/漏极区的晶体管225-1和225-2,其中VDD是与阵列相关联的供应电压。晶体管225-1的第二源极/漏极区耦合到数字线205-1,且晶体管225-2的第二源极/漏极区耦合到数字线205-2。晶体管224、225-1和225-2的栅极可耦合到一起且耦合到平衡(EQ)控制信号线226。因而,激活EQ会启用晶体管224、225-1和225-2,这有效地将数字线205-1与205-2短接在一起且短接到平衡电压(例如VCC/2)。虽然图2展示包括平衡电路214的感测放大器206,但实施例不受如此限制,且平衡电路214可与感测放大器206离散地实施、实施于与图2中所展示的配置不同的配置中或完全不实施。
如图2中所展示,计算组件231还可包括锁存器,所述锁存器可在本文中称为次锁存器264。次锁存器264可以类似于上文关于主锁存器215所描述的方式配置且操作,不同之处在于包含于次锁存器中的所述对交叉耦合的p沟道晶体管(例如PMOS晶体管)可使其各别源极耦合到供应电压(例如VDD),且次锁存器的所述对交叉耦合的n沟道晶体管(例如NMOS晶体管)可使其各别源极选择性地耦合到参考电压(例如接地),使得持续启用次锁存器。计算组件231的配置不限于图2中所展示的配置,且各种其它实施例是可行的。
图3为说明根据本公开的数个实施例的存储器装置的电路部分的示意图。图3展示耦合到一对互补感测线305-1和305-2的感测放大器306、逻辑运算选择逻辑313和经由通过门307-1和307-2耦合到感测放大器306的计算组件331。图3中展示的感测放大器306可对应于图2中展示的感测放大器206。图3中展示的计算组件331可对应于在图1中的感测电路150,包含计算组件。图3中展示的逻辑运算选择逻辑313可对应于图2中展示的逻辑运算选择逻辑213。通过门307-1和307-2的栅极可由逻辑运算选择逻辑313信号(例如Pass)控制。举例来说,逻辑运算选择逻辑313的输出可耦合到通过门307-1和307-2的栅极。此外,计算组件331可包括配置成使数据值左右移位的可加载移位寄存器。
根据图3中所说明的实施例,计算组件331可包括配置成使数据值左右移位的可加载移位寄存器的各别级(例如移位单元)。举例来说,如图3中所说明,移位寄存器的每个计算组件331(例如级)包括一对右移晶体管381和386、一对左移晶体管389和390以及一对反相器387和388。信号PHASE 1R、PHASE 2R、PHASE 1L和PHASE 2L可应用于各别控制线382、383、391和392,以与根据本文所描述的实施例而执行逻辑运算和/或移位数据相关联地启用/停用对应计算组件331的锁存器上的反馈。
图3中展示的感测电路展示耦合到数个逻辑选择控制输入控制线(包含ISO、TF、TT、FT和FF)的操作选择逻辑313。当经由经确证的ISO控制信号启用隔离晶体管350-1和350-2时,根据逻辑选择控制输入线上的逻辑选择控制信号的条件以及所述对互补感测线305-1和305-2上存在的数据值确定从多个逻辑运算对逻辑运算的选择。
根据各种实施例,操作选择逻辑313可包含四个逻辑选择晶体管:耦合于交换晶体管342的栅极与TF信号控制线之间的逻辑选择晶体管362;耦合于通过门307-1和307-2的栅极与TT信号控制线之间的逻辑选择晶体管352;耦合于通过门307-1和307-2的栅极与FT信号控制线之间的逻辑选择晶体管354;以及耦合于交换晶体管342的栅极与FF信号控制线之间的逻辑选择晶体管364。逻辑选择晶体管362和352的栅极通过隔离晶体管350-1(具有耦合到ISO信号控制线的栅极)耦合到真感测线。逻辑选择晶体管364和354的栅极通过隔离晶体管350-2(也具有耦合到ISO信号控制线的栅极)耦合到互补感测线。
所述对互补感测线305-1和305-2上存在的数据值可经由通过门307-1和307-2加载到计算组件331中。计算组件331可包括可加载移位寄存器。当通过门307-1和307-2打开(OPEN)时,所述对互补感测线305-1和305-2上的数据值被传递给计算组件331并由此加载到可加载移位寄存器中。当启动感测放大器时,所述对互补感测线305-1和305-2上的数据值可以是存储于感测放大器306中的数据值。在这一实例中,逻辑运算选择逻辑信号Pass为高以打开(OPEN)通过门307-1及307-2。
ISO、TF、TT、FT和FF控制信号可用以选择逻辑函数以基于感测放大器306中的数据值(“B”)和计算组件331中的数据值(“A”)而实施。具体来说,ISO、TF、TT、FT和FF控制信号配置成选择逻辑函数以独立于所述对互补感测线305-1和305-2上存在的数据值而实施(但是所实施逻辑运算的结果可取决于所述对互补感测线305-1和305-2上存在的数据值)。举例来说,ISO、TF、TT、FT及FF控制信号选择逻辑运算来直接实施,因为所述对互补感测线305-1及305-2上存在的数据值不穿过逻辑来操作通过门307-1及307-2的栅极。
此外,图3展示配置成在感测放大器306与计算组件331之间交换所述对互补感测线305-1和305-2的定向的交换晶体管342。当交换晶体管342打开(OPEN)时,交换晶体管342的感测放大器306侧上的所述对互补感测线305-1和305-2上的数据值相对地耦合到交换晶体管342的计算组件331侧上的所述对互补感测线305-1和305-2,并由此加载到计算组件331的可加载移位寄存器中。
当ISO控制信号线被激活且TT控制信号被激活(例如,激活为高)且真感测线上的数据值为“1”,或FT控制信号被激活(例如,激活为高)且互补感测线上的数据值为“1”时,逻辑运算选择逻辑313信号Pass可被激活(例如,激活为高)以打开(OPEN)通过门307-1和307-2(例如导电)。
真感测线上的数据值为“1”打开(OPEN)逻辑选择晶体管352和362。互补感测线上的数据值为“1”打开(OPEN)逻辑选择晶体管354和364。如果ISO控制信号或各别TT/FT控制信号或对应感测线(例如特定逻辑选择晶体管的栅极耦合到的感测线)上的数据值不高,那么通过门307-1和307-2将不由特定逻辑选择晶体管打开(OPEN)。
当ISO控制信号线被激活且TF控制信号被激活(例如,激活为高)且真感测线上的数据值为“1”,或FF控制信号被激活(例如,激活为高)且互补感测线上的数据值为“1”时,逻辑运算选择逻辑信号Pass*可被激活(例如,激活为高),以打开(OPEN)交换晶体管342(例如导电)。如果对应感测线(例如特定逻辑选择晶体管的栅极耦合到的感测线)上的各别控制信号或数据值不为高,那么交换晶体管342将不通过特定逻辑选择晶体管打开(OPEN)。
Pass*控制信号不必与Pass控制信号互补。可同时激活或停用Pass和Pass*控制信号两者。然而,同时激活Pass及Pass*控制信号两者将互补感测线对短接在一起,这会是应被避免的破坏性配置。
图3中所说明的感测电路配置成选择多个逻辑运算中的一个以直接从四个逻辑选择控制信号实施(例如逻辑运算选择不取决于所述对互补感测线上存在的数据值)。逻辑选择控制信号的一些组合可使得同时打开(OPEN)通过门307-1和307-2以及交换晶体管342两者,这会将所述对互补感测线305-1和305-2短接在一起。根据本公开的数个实施例,可使用图3中所说明的感测电路实施的逻辑运算可以是图4中所展示的逻辑表中概括的逻辑运算。
图4是说明根据本公开的数个实施例的使用图3中展示的电路实施的可选逻辑运算结果的逻辑表。四个逻辑选择控制信号(例如TF、TT、FT和FF)结合互补感测线上存在的特定数据值可用以选择多个逻辑运算中的一个来实施,涉及存储在感测放大器306和计算组件331中的开始数据值。四个控制信号结合互补感测线上存在的特定数据值控制通过门307-1和307-2以及交换晶体管342的连续性,这又在启动之前/之后影响计算组件331和/或感测放大器306中的数据值。可选择地控制交换晶体管342的连续性的能力促进实施涉及逆数据值(例如,逆操作数和/或逆结果)等等的逻辑运算。
图4中所说明的逻辑表4-1展示存储在计算组件331中在444处的列A中展示的开始数据值和存储在感测放大器306中在445处的列B中展示的开始数据值。逻辑表4-1中的另外3个列标题指通过门307-1和307-2以及交换晶体管342的连续性,其可分别受控制为打开(OPEN)或关闭(CLOSED),这取决于四个逻辑选择控制信号(例如TF、TT、FT和FF),结合所述对互补感测线305-1和305-2上存在的特定数据值的状态。“未打开(Not Open)”列对应于通过门307-1和307-2以及交换晶体管342两者处于非导电条件下,“打开真(Open True)”对应于通过门307-1和307-2处于导电条件下,且“打开逆转(Open Invert)”对应于交换晶体管342处于导电条件下。未在逻辑表4-1中反映对应于通过门307-1和307-2以及交换晶体管342两者处于导电条件下的配置,这是因为这会致使感测线短接在一起。
经由选择性地控制通过门307-1和307-2以及交换晶体管342的连续性,逻辑表4-1的上部部分的三个列中的每一个可与逻辑表4-1的下部部分的三个列中的每一个组合,以提供对应于九个不同逻辑运算的3×3=9个不同结果组合,如由475处展示的各种连接路径指示。在图4中所说明的逻辑表4-2中概括可由感测电路(例如图1中的150)实施的九个不同可选择逻辑运算,包含XOR逻辑运算。
图4中所说明的逻辑表4-2的列展示包含逻辑选择控制信号的状态的标题480。举例来说,在行476中提供第一逻辑选择控制信号的状态,在行477中提供第二逻辑选择控制信号的状态,在行478中提供第三逻辑选择控制信号的状态,且在行479中提供第四逻辑选择控制信号的状态。在行447中概括对应于结果的特定逻辑运算。
尽管本文中已说明并描述包含感测电路、感测放大器、计算组件、动态锁存器、隔离装置和/或移位电路的各种组合和配置的实例实施例,但本公开的实施例不限制于本文中明确地叙述的那些组合。本文中所公开的感测电路、感测放大器、计算组件、动态锁存器、隔离装置和/或移位电路的其它组合和配置明确地包含在本公开的范围内。
尽管已在本文中说明并描述了具体实施例,但所属领域的一般技术人员应了解,经计算以实现相同结果的布置可取代所展示的具体实施例。本公开意图覆盖本公开的一或多个实施例的改编或变化。应理解,以上描述是以说明性方式进行的,而不是限制性的。在查阅以上描述后,以上实施例和本文未具体描述的其它实施例的组合对于所属领域的技术人员来说将显而易见。本公开的一或多个实施例的范围包含使用以上结构和方法的其它应用。因此,本公开的一或多个实施例的范围应参考所附权利要求书以及这些权利要求书所授予的等效物的完整范围来确定。
在前述具体实施方式中,出于简化本公开的目的而将一些特征一并归到单个实施例中。本公开的这一方法不应被理解为反映本公开的所公开实施例必须比在每项权利要求中明确叙述那样使用更多特征的意图。实际上,如所附权利要求书所反映,本发明主题在于单个所公开实施例的不到全部的特征。因此,以下权利要求特此并入于具体实施方式中,其中每项权利要求就其自身而言作为单独实施例。

Claims (18)

1.一种用于存储器内处理的设备,其包括:
主机计算装置(111),其包括控制器(131),其中所述控制器(131)经配置以:
生成复合运算命令;及
为存储器内处理PIM操作而执行用于所述复合运算命令的虚拟地址解析。
2.根据权利要求1所述的设备,其中所述控制器(131)进一步经配置以生成对应于执行位向量运算的命令。
3.根据权利要求2所述的设备,其中所述控制器(131)经配置以在执行所述虚拟地址解析之后生成对应于执行所述位向量运算的所述命令。
4.根据权利要求1所述的设备,其进一步包括耦合到所述主机计算装置(111)的旁带通道(157),其中所述控制器(131)经配置以经由所述旁带通道(157)将所述复合运算命令转移到具有PIM能力的装置(120),所述具有PIM能力的装置(120)经由所述旁带通道(157)可耦合到所述主机计算装置(111),且其中所述具有PIM能力的装置(120)将执行所述复合运算命令。
5.根据权利要求1所述的设备,其中所述控制器(131)进一步经配置以:
将指令解码成与执行PIM操作相关联的函数调用,作为生成所述复合运算命令的一部分;及
将所述函数调用转移到可耦合到所述主机计算装置(111)的具有PIM能力的装置(120)。
6.根据权利要求4和5中任一项所述的设备,其中所述控制器(131)进一步经配置以:
生成逻辑运算命令;及
为存储器内处理PIM操作而执行用于所述逻辑运算命令的虚拟地址解析。
7.根据权利要求4和5中任一项所述的设备,其中所述控制器(131)进一步经配置以生成控制存储器单元阵列(130)的操作的时序的指令,所述存储器单元阵列(130)可耦合到所述主机计算装置(111)。
8.一种用于存储器内处理的方法,其包括:
通过主机计算装置(111)生成复合运算命令;及
通过所述主机计算装置(111)为存储器内处理PIM操作而执行用于所述复合运算命令的虚拟地址解析。
9.根据权利要求8所述的方法,其进一步包括:
通过所述主机计算装置(111)生成逻辑运算命令;及
通过所述主机计算装置(111)为存储器内处理PIM操作而执行用于所述逻辑运算命令的虚拟地址解析。
10.根据权利要求8所述的方法,其进一步包括通过所述主机计算装置(111)生成对应于执行位向量运算的命令。
11.根据权利要求8-10中任一项所述的方法,其进一步包括通过所述主机计算装置(111)将所述复合运算命令转移到具有PIM能力的装置(120),所述具有PIM能力的装置(120)经由旁带通道(157)可耦合到所述主机计算装置(111),所述旁带通道(157)与双数据速率DDR通道154分离,所述DDR通道154用于控制所述具有PIM能力的装置(120)的读取和写入动态随机存取存储器DRAM命令。
12.根据权利要求8-10中任一项所述的方法,其进一步包括:
将所述复合运算命令转移到存储器装置(120)上的PIM控制组件(140),所述存储器装置(120)可耦合到所述主机计算装置(111);及
响应于接收所述复合运算命令,通过所述存储器装置(120)开始PIM操作。
13.根据权利要求8-10中任一项所述的方法,其中所述复合运算指令对应于作为执行PIM操作的一部分而执行的多个位向量运算。
14.一种用于存储器内处理的系统,其包括:
具有存储器内处理PIM能力的装置(120);及
主机计算装置(111),其耦合到所述具有PIM能力的装置(120),所述主机计算装置(111)经配置以:
生成逻辑运算命令或复合运算命令,或生成逻辑运算命令和复合运算命令两者;及
为存储器内处理PIM操作来执行用于所述逻辑运算命令的虚拟地址解析或用于所述复合运算命令的虚拟地址解析,或为存储器内处理PIM操作来执行用于所述逻辑运算命令的虚拟地址解析和用于所述复合运算命令的虚拟地址解析两者;及
在执行所述虚拟地址解析之后将所述逻辑运算命令或所述复合运算命令或所述逻辑运算命令和所述复合运算命令两者转移到所述具有PIM能力的装置(120)。
15.根据权利要求14所述的系统,其进一步包括旁带通道(157),所述旁带通道(157)与双数据速率DDR通道154分离,所述DDR通道154用于控制所述具有PIM能力的装置(120)的读取和写入动态随机存取存储器DRAM命令,并且耦合到所述主机计算装置(111)和所述具有PIM能力的装置(120),其中所述控制器(131)经配置以经由所述旁带通道(157)将所述逻辑运算命令或所述复合运算命令或所述逻辑运算命令和所述复合运算命令两者转移到所述具有PIM能力的装置(120)。
16.根据权利要求14和15中任一项所述的系统,其中所述具有PIM能力的装置(120)经配置以响应于接收所述逻辑运算命令或所述复合运算命令或所述逻辑运算命令和所述复合运算命令两者而执行位向量运算,且其中所述具有PIM能力的装置(120)进一步经配置以在执行所述位向量运算之前对存储在所述阵列中的数据执行数据对齐操作。
17.根据权利要求14和15中任一项所述的系统,其中所述控制器进一步经配置以:
将指令解码成与执行PIM操作相关联的函数调用,作为生成所述逻辑运算命令或所述复合运算命令或所述逻辑运算命令和所述复合运算命令两者的一部分;及
将所述函数调用转移到所述具有PIM能力的装置。
18.根据权利要求14和15中任一项所述的系统,其中所述主机计算装置(111)包括存储器管理单元(134)以通过将所述主机计算装置(111)的虚拟地址转换成存储器单元阵列(130)的物理地址来执行所述虚拟地址解析,所述存储器单元阵列(130)与所述具有PIM能力的装置(120)相关联。
CN202110381910.9A 2017-08-31 2018-08-21 存储器内处理 Pending CN113064853A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/693,378 2017-08-31
US15/693,378 US10416927B2 (en) 2017-08-31 2017-08-31 Processing in memory
CN201880055104.9A CN111052099B (zh) 2017-08-31 2018-08-21 存储器内处理

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201880055104.9A Division CN111052099B (zh) 2017-08-31 2018-08-21 存储器内处理

Publications (1)

Publication Number Publication Date
CN113064853A true CN113064853A (zh) 2021-07-02

Family

ID=65435226

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201880055104.9A Active CN111052099B (zh) 2017-08-31 2018-08-21 存储器内处理
CN202110381910.9A Pending CN113064853A (zh) 2017-08-31 2018-08-21 存储器内处理

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201880055104.9A Active CN111052099B (zh) 2017-08-31 2018-08-21 存储器内处理

Country Status (4)

Country Link
US (4) US10416927B2 (zh)
CN (2) CN111052099B (zh)
DE (1) DE112018004861T5 (zh)
WO (1) WO2019046032A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115617274A (zh) * 2022-10-27 2023-01-17 亿铸科技(杭州)有限责任公司 一种具备坏块管理功能的存内计算装置及操作方法

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8971124B1 (en) * 2013-08-08 2015-03-03 Micron Technology, Inc. Apparatuses and methods for performing logical operations using sensing circuitry
US10908820B2 (en) * 2017-09-14 2021-02-02 Samsung Electronics Co., Ltd. Host-based and client-based command scheduling in large bandwidth memory systems
KR20200036461A (ko) * 2018-09-28 2020-04-07 삼성전자주식회사 메모리 디바이스들 사이의 직접 통신을 위한 메모리 시스템 및 메모리 디바이스
US11403067B2 (en) * 2019-03-20 2022-08-02 Micron Technology, Inc. Memory array data structure for posit operations
US11055003B2 (en) * 2019-08-20 2021-07-06 Micron Technology, Inc. Supplemental AI processing in memory
US11934824B2 (en) 2019-09-05 2024-03-19 Micron Technology, Inc. Methods for performing processing-in-memory operations, and related memory devices and systems
US11693657B2 (en) 2019-09-05 2023-07-04 Micron Technology, Inc. Methods for performing fused-multiply-add operations on serially allocated data within a processing-in-memory capable memory device, and related memory devices and systems
US11829729B2 (en) 2019-09-05 2023-11-28 Micron Technology, Inc. Spatiotemporal fused-multiply-add, and related systems, methods and devices
US11915124B2 (en) 2019-09-05 2024-02-27 Micron Technology, Inc. Performing processing-in-memory operations related to spiking events, and related methods, systems and devices
KR20210034999A (ko) 2019-09-23 2021-03-31 에스케이하이닉스 주식회사 Aim 장치 및 aim 장치에서의 곱셈-누산 연산 방법
US11586500B2 (en) * 2019-09-23 2023-02-21 SK Hynix Inc. Processing-in-memory (PIM) devices
US11586494B2 (en) 2019-09-23 2023-02-21 SK Hynix Inc. Processing-in-memory (PIM) devices
US11262949B2 (en) * 2020-05-28 2022-03-01 Advanced Micro Devices, Inc. Command throughput in PIM-enabled memory using available data bus bandwidth
US11537861B2 (en) 2020-06-23 2022-12-27 Micron Technology, Inc. Methods of performing processing-in-memory operations, and related devices and systems
US11868657B2 (en) 2021-02-08 2024-01-09 Samsung Electronics Co., Ltd. Memory controller, method of operating the memory controller, and electronic device including the memory controller
US20230130969A1 (en) * 2021-10-27 2023-04-27 Advanced Micro Devices, Inc. Providing Data from Portions of a Memory to Processors in Memory (PIMs) in an Electronic Device
US20230205705A1 (en) * 2021-12-23 2023-06-29 Advanced Micro Devices, Inc. Approach for providing indirect addressing in memory modules

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100325340A1 (en) * 2009-06-23 2010-12-23 Seagate Technology Llc Memory Wear Control
CN102467950A (zh) * 2010-11-09 2012-05-23 三星电子株式会社 伪开漏型输出驱动器、半导体存储器装置及其控制方法
CN105487812A (zh) * 2014-10-01 2016-04-13 三星电子株式会社 用于支持存储器内处理的方法和存储器模块
WO2016187205A1 (en) * 2015-05-21 2016-11-24 Micron Technology, Inc. Translation lookaside buffer in memory

Family Cites Families (337)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3042082A (en) 1959-12-02 1962-07-03 Emil Forster Thread tensioning or braking devices for shuttles
US4380046A (en) 1979-05-21 1983-04-12 Nasa Massively parallel processor computer
JPS6032911B2 (ja) 1979-07-26 1985-07-31 株式会社東芝 半導体記憶装置
US4435792A (en) 1982-06-30 1984-03-06 Sun Microsystems, Inc. Raster memory manipulation apparatus
US4727474A (en) 1983-02-18 1988-02-23 Loral Corporation Staging memory for massively parallel processor
EP0214718A3 (en) 1985-07-22 1990-04-04 Alliant Computer Systems Corporation Digital computer
US5201039A (en) 1987-09-30 1993-04-06 Mitsubishi Denki Kabushiki Kaisha Multiple address-space data processor with addressable register and context switching
US4843264A (en) 1987-11-25 1989-06-27 Visic, Inc. Dynamic sense amplifier for CMOS static RAM
US5276643A (en) 1988-08-11 1994-01-04 Siemens Aktiengesellschaft Integrated semiconductor circuit
JPH0713858B2 (ja) 1988-08-30 1995-02-15 三菱電機株式会社 半導体記憶装置
US5023838A (en) 1988-12-02 1991-06-11 Ncr Corporation Random access memory device with integral logic capability
US4958378A (en) 1989-04-26 1990-09-18 Sun Microsystems, Inc. Method and apparatus for detecting changes in raster data
US5253308A (en) 1989-06-21 1993-10-12 Amber Engineering, Inc. Massively parallel digital image data processor using pixel-mapped input/output and relative indexed addressing
DE69132495T2 (de) 1990-03-16 2001-06-13 Texas Instruments Inc Verteilter Verarbeitungsspeicher
US5034636A (en) 1990-06-04 1991-07-23 Motorola, Inc. Sense amplifier with an integral logic function
US5210850A (en) 1990-06-15 1993-05-11 Compaq Computer Corporation Memory address space determination using programmable limit registers with single-ended comparators
JP3361825B2 (ja) 1990-08-22 2003-01-07 テキサス インスツルメンツ インコーポレイテツド メモリ・アレイ・アーキテクチャ
JPH06103599B2 (ja) 1990-11-16 1994-12-14 三菱電機株式会社 半導体集積回路装置
US5325519A (en) 1991-10-18 1994-06-28 Texas Microsystems, Inc. Fault tolerant computer with archival rollback capabilities
FR2685973B1 (fr) 1992-01-03 1994-02-25 France Telecom Point memoire pour memoire associative.
KR950005095Y1 (ko) 1992-03-18 1995-06-22 문정환 양방향성 그로벌 비트 라인을 갖는 dram
JPH06215160A (ja) 1992-08-25 1994-08-05 Texas Instr Inc <Ti> データ処理方法および装置
KR950004854B1 (ko) 1992-10-08 1995-05-15 삼성전자 주식회사 반도체 메모리 장치
US5485373A (en) 1993-03-25 1996-01-16 Taligent, Inc. Language-sensitive text searching system with modified Boyer-Moore process
US5440482A (en) 1993-03-25 1995-08-08 Taligent, Inc. Forward and reverse Boyer-Moore string searching of multilingual text having a defined collation order
US5369622A (en) 1993-04-20 1994-11-29 Micron Semiconductor, Inc. Memory with isolated digit lines
US5754478A (en) 1993-04-20 1998-05-19 Micron Technology, Inc. Fast, low power, write scheme for memory circuits using pulsed off isolation device
JP2663838B2 (ja) 1993-07-27 1997-10-15 日本電気株式会社 半導体集積回路装置
JP3252306B2 (ja) 1993-08-10 2002-02-04 株式会社日立製作所 半導体不揮発性記憶装置
JP3904244B2 (ja) 1993-09-17 2007-04-11 株式会社ルネサステクノロジ シングル・チップ・データ処理装置
JP3251421B2 (ja) 1994-04-11 2002-01-28 株式会社日立製作所 半導体集積回路
US5655113A (en) 1994-07-05 1997-08-05 Monolithic System Technology, Inc. Resynchronization circuit for a memory system and method of operating same
JPH0831168A (ja) 1994-07-13 1996-02-02 Hitachi Ltd 半導体記憶装置
US5481500A (en) 1994-07-22 1996-01-02 International Business Machines Corporation Precharged bit decoder and sense amplifier with integrated latch usable in pipelined memories
US5615404A (en) 1994-10-31 1997-03-25 Intel Corporation System having independently addressable bus interfaces coupled to serially connected multi-ported signal distributors generating and maintaining frame based polling schedule favoring isochronous peripherals
US5638128A (en) 1994-11-08 1997-06-10 General Instrument Corporation Of Delaware Pixel interpolation filters for video decompression processor
US5724366A (en) 1995-05-16 1998-03-03 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device
KR0146530B1 (ko) 1995-05-25 1998-09-15 김광호 단속제어회로를 구비한 반도체 메모리 장치와 제어방법
US7301541B2 (en) 1995-08-16 2007-11-27 Microunity Systems Engineering, Inc. Programmable processor and method with wide operations
JP2812262B2 (ja) 1995-08-31 1998-10-22 日本電気株式会社 連想記憶装置
US6385634B1 (en) 1995-08-31 2002-05-07 Intel Corporation Method for performing multiply-add operations on packed data
JP2817836B2 (ja) 1995-11-30 1998-10-30 日本電気株式会社 半導体メモリ装置
JP3356612B2 (ja) 1996-02-29 2002-12-16 インターナショナル・ビジネス・マシーンズ・コーポレーション 高速な輪郭スムージング方法及び装置
US6092186A (en) 1996-05-07 2000-07-18 Lucent Technologies Inc. Apparatus and method for aborting un-needed instruction fetches in a digital microprocessor device
US5915084A (en) 1996-09-30 1999-06-22 Advanced Micro Devices, Inc. Scannable sense amplifier circuit
US5991209A (en) 1997-04-11 1999-11-23 Raytheon Company Split sense amplifier and staging buffer for wide memory architecture
JP3592887B2 (ja) 1997-04-30 2004-11-24 株式会社東芝 不揮発性半導体記憶装置
US6510098B1 (en) 1997-05-28 2003-01-21 Cirrus Logic, Inc. Method and apparatus for transferring data in a dual port memory
JPH1115773A (ja) 1997-06-24 1999-01-22 Matsushita Electron Corp 半導体集積回路、コンピュータシステム、データ処理装置及びデータ処理方法
US5935263A (en) 1997-07-01 1999-08-10 Micron Technology, Inc. Method and apparatus for memory array compressed data testing
US6195734B1 (en) 1997-07-02 2001-02-27 Micron Technology, Inc. System for implementing a graphic address remapping table as a virtual register file in system memory
US6181698B1 (en) 1997-07-09 2001-01-30 Yoichi Hariguchi Network routing table using content addressable memory
US8489861B2 (en) 1997-12-23 2013-07-16 Round Rock Research, Llc Split embedded DRAM processor
US6025221A (en) 1997-08-22 2000-02-15 Micron Technology, Inc. Processing methods of forming integrated circuitry memory devices, methods of forming DRAM arrays, and related semiconductor masks
US8686549B2 (en) 2001-09-03 2014-04-01 Martin Vorbach Reconfigurable elements
US5991785A (en) 1997-11-13 1999-11-23 Lucent Technologies Inc. Determining an extremum value and its index in an array using a dual-accumulation processor
US6185664B1 (en) 1997-11-17 2001-02-06 Micron Technology, Inc. Method for providing additional latency for synchronously accessed memory
US5867429A (en) 1997-11-19 1999-02-02 Sandisk Corporation High density non-volatile flash memory without adverse effects of electric field coupling between adjacent floating gates
US6163862A (en) 1997-12-01 2000-12-19 International Business Machines Corporation On-chip test circuit for evaluating an on-chip signal using an external test signal
JP3488612B2 (ja) 1997-12-11 2004-01-19 株式会社東芝 センス増幅回路
US7565461B2 (en) 1997-12-17 2009-07-21 Src Computers, Inc. Switch/network adapter port coupling a reconfigurable processing element to one or more microprocessors for use with interleaved memory controllers
US5986942A (en) 1998-01-20 1999-11-16 Nec Corporation Semiconductor memory device
JPH11260057A (ja) 1998-03-13 1999-09-24 Nec Corp 半導体記憶装置
JPH11265995A (ja) 1998-03-17 1999-09-28 Mitsubishi Electric Corp 半導体記憶装置
JPH11306751A (ja) 1998-04-22 1999-11-05 Toshiba Corp 半導体記憶装置
US6005799A (en) 1998-08-06 1999-12-21 Silicon Aquarius Methods and circuits for single-memory dynamic cell multivalue data storage
US6141286A (en) 1998-08-21 2000-10-31 Micron Technology, Inc. Embedded DRAM architecture with local data drivers and programmable number of data read and data write lines
US7409694B2 (en) 1998-09-09 2008-08-05 Microsoft Corporation Highly componentized system architecture with loadable virtual memory manager
JP2000173269A (ja) 1998-12-08 2000-06-23 Mitsubishi Electric Corp 半導体記憶装置
KR100381968B1 (ko) 1998-12-30 2004-03-24 주식회사 하이닉스반도체 고속동작용디램
US5999435A (en) 1999-01-15 1999-12-07 Fast-Chip, Inc. Content addressable memory device
US6389507B1 (en) 1999-01-15 2002-05-14 Gigabus, Inc. Memory device search system and method
US6134164A (en) 1999-04-22 2000-10-17 International Business Machines Corp. Sensing circuit for a memory cell array
US6741104B2 (en) 1999-05-26 2004-05-25 Micron Technology, Inc. DRAM sense amplifier for low voltages
US6157578A (en) 1999-07-15 2000-12-05 Stmicroelectronics, Inc. Method and apparatus for accessing a memory device
US6208544B1 (en) 1999-09-09 2001-03-27 Harris Corporation Content addressable memory cell providing simultaneous read and compare capability
US6578058B1 (en) 1999-10-06 2003-06-10 Agilent Technologies, Inc. System and method for comparing values from target systems
US7124221B1 (en) 1999-10-19 2006-10-17 Rambus Inc. Low latency multi-level communication interface
US6418498B1 (en) 1999-12-30 2002-07-09 Intel Corporation Integrated system management memory for system management interrupt handler independent of BIOS and operating system
JP4627103B2 (ja) 2000-01-18 2011-02-09 富士通セミコンダクター株式会社 半導体記憶装置及びその制御方法
WO2001057875A1 (fr) 2000-02-04 2001-08-09 Hitachi, Ltd. Dispositif semi-conducteur
WO2001065359A2 (en) 2000-02-29 2001-09-07 Peter Petrov Method and apparatus for building a memory image
JP3983969B2 (ja) 2000-03-08 2007-09-26 株式会社東芝 不揮発性半導体記憶装置
US7028170B2 (en) 2000-03-08 2006-04-11 Sun Microsystems, Inc. Processing architecture having a compare capability
US6678678B2 (en) 2000-03-09 2004-01-13 Braodcom Corporation Method and apparatus for high speed table search
JP3822412B2 (ja) 2000-03-28 2006-09-20 株式会社東芝 半導体記憶装置
US6965648B1 (en) 2000-05-04 2005-11-15 Sun Microsystems, Inc. Source synchronous link integrity validation
KR100869870B1 (ko) 2000-07-07 2008-11-24 모사이드 테크놀로지스, 인코포레이티드 메모리 소자에서의 읽기 명령 수행 방법 및 dram액세스 방법
US6466499B1 (en) 2000-07-11 2002-10-15 Micron Technology, Inc. DRAM sense amplifier having pre-charged transistor body nodes
US7302582B2 (en) 2000-08-21 2007-11-27 United States Postal Service Delivery point validation system
US6301164B1 (en) 2000-08-25 2001-10-09 Micron Technology, Inc. Antifuse method to repair columns in a prefetched output memory architecture
US6704828B1 (en) 2000-08-31 2004-03-09 Micron Technology, Inc. System and method for implementing data pre-fetch having reduced data lines and/or higher data rates
US6948056B1 (en) 2000-09-28 2005-09-20 Intel Corporation Maintaining even and odd array pointers to extreme values by searching and comparing multiple elements concurrently where a pointer is adjusted after processing to account for a number of pipeline stages
KR100390943B1 (ko) 2000-12-29 2003-07-10 주식회사 하이닉스반도체 비휘발성 메모리 소자의 센싱 회로
US6304477B1 (en) 2001-01-31 2001-10-16 Motorola, Inc. Content addressable magnetic random access memory
US6563754B1 (en) 2001-02-08 2003-05-13 Integrated Device Technology, Inc. DRAM circuit with separate refresh memory
US6650158B2 (en) 2001-02-21 2003-11-18 Ramtron International Corporation Ferroelectric non-volatile logic elements
US6807614B2 (en) 2001-07-19 2004-10-19 Shine C. Chung Method and apparatus for using smart memories in computing
US7546438B2 (en) 2001-07-19 2009-06-09 Chung Shine C Algorithm mapping, specialized instructions and architecture features for smart memory computing
ITRM20010531A1 (it) 2001-08-31 2003-02-28 Micron Technology Inc Dispositivo rilevatore a bassa potenza e alta tensione per memorie ditipo flash.
US7260672B2 (en) 2001-09-07 2007-08-21 Intel Corporation Using data stored in a destructive-read memory
US6850446B1 (en) 2001-12-06 2005-02-01 Virage Logic Corporation Memory cell sensing with low noise generation
US7062689B2 (en) 2001-12-20 2006-06-13 Arm Limited Method and apparatus for memory self testing
US20040073773A1 (en) 2002-02-06 2004-04-15 Victor Demjanenko Vector processor architecture and methods performed therein
US6707729B2 (en) 2002-02-15 2004-03-16 Micron Technology, Inc. Physically alternating sense amplifier activation
AU2003221680A1 (en) 2002-04-09 2003-10-27 The Research Foundation Of State University Of New York Multiplier-based processor-in-memory architectures for image and graphics processing
JP2003331598A (ja) 2002-05-13 2003-11-21 Mitsubishi Electric Corp 半導体記憶装置
US7406494B2 (en) 2002-05-14 2008-07-29 Texas Instruments Incorporated Method of generating a cycle-efficient bit-reverse index array for a wireless communication system
JP2003346484A (ja) 2002-05-23 2003-12-05 Mitsubishi Electric Corp 不揮発性半導体記憶装置
US6789099B2 (en) 2002-06-10 2004-09-07 International Business Machines Corporation Sense-amp based adder with source follower evaluation tree
US7054178B1 (en) 2002-09-06 2006-05-30 Etron Technology, Inc. Datapath architecture for high area efficiency
US6987693B2 (en) 2002-09-24 2006-01-17 Sandisk Corporation Non-volatile memory and method with reduced neighboring field errors
US7079407B1 (en) 2002-10-18 2006-07-18 Netlogic Microsystems, Inc. Content addressable memory (CAM) device including match line sensing
US6765834B2 (en) 2002-11-19 2004-07-20 Hewlett-Packard Development Company, L.P. System and method for sensing memory cells of an array of memory cells
KR100546307B1 (ko) 2002-12-05 2006-01-26 삼성전자주식회사 글로벌 입출력라인을 프리차지 및/또는 이퀄라이징하기위한 프리차지 회로를 구비하는 반도체 장치 및프리차지 및/또는 이퀄라이즈하는 트랜지스터의 레이아웃
US6731542B1 (en) 2002-12-05 2004-05-04 Advanced Micro Devices, Inc. Circuit for accurate memory read operations
US6888372B1 (en) 2002-12-20 2005-05-03 Altera Corporation Programmable logic device with soft multiplier
WO2004059651A2 (en) 2002-12-27 2004-07-15 Solid State System Co., Ltd. Nonvolatile memory unit with specific cache
US7346903B2 (en) 2003-02-04 2008-03-18 Sun Microsystems, Inc. Compiling and linking modules of a cycle-based logic design
US6768679B1 (en) 2003-02-10 2004-07-27 Advanced Micro Devices, Inc. Selection circuit for accurate memory read operations
US6819612B1 (en) 2003-03-13 2004-11-16 Advanced Micro Devices, Inc. Apparatus and method for a sense amplifier circuit that samples and holds a reference voltage
US6865122B2 (en) 2003-04-11 2005-03-08 Intel Corporation Reclaiming blocks in a block-alterable memory
US7447720B2 (en) 2003-04-23 2008-11-04 Micron Technology, Inc. Method for finding global extrema of a set of bytes distributed across an array of parallel processing elements
US7574466B2 (en) 2003-04-23 2009-08-11 Micron Technology, Inc. Method for finding global extrema of a set of shorts distributed across an array of parallel processing elements
US7454451B2 (en) 2003-04-23 2008-11-18 Micron Technology, Inc. Method for finding local extrema of a set of values for a parallel processing element
US9015390B2 (en) 2003-04-25 2015-04-21 Micron Technology, Inc. Active memory data compression system and method
DE10319271A1 (de) 2003-04-29 2004-11-25 Infineon Technologies Ag Speicher-Schaltungsanordnung und Verfahren zur Herstellung
JP3898152B2 (ja) 2003-05-27 2007-03-28 ローム株式会社 演算機能付き記憶装置および演算記憶方法
JP2007504548A (ja) 2003-09-04 2007-03-01 コニンクリユケ フィリップス エレクトロニクス エヌ.ブイ. 集積回路およびキャッシュ・リマッピングの方法
US6956770B2 (en) 2003-09-17 2005-10-18 Sandisk Corporation Non-volatile memory and method with bit line compensation dependent on neighboring operating modes
US7177183B2 (en) 2003-09-30 2007-02-13 Sandisk 3D Llc Multiple twin cell non-volatile memory array and logic block structure and method therefor
US7913125B2 (en) 2003-11-04 2011-03-22 Lsi Corporation BISR mode to test the redundant elements and regular functional memory to avoid test escapes
US6950771B1 (en) 2003-12-09 2005-09-27 Xilinx, Inc. Correlation of electrical test data with physical defect data
US7631236B2 (en) 2004-01-29 2009-12-08 International Business Machines Corporation Hybrid built-in self test (BIST) architecture for embedded memory arrays and an associated method
US7401281B2 (en) 2004-01-29 2008-07-15 International Business Machines Corporation Remote BIST high speed test and redundancy calculation
JP4819316B2 (ja) 2004-02-23 2011-11-24 ルネサスエレクトロニクス株式会社 半導体装置
US7088606B2 (en) 2004-03-10 2006-08-08 Altera Corporation Dynamic RAM storage techniques
US7020017B2 (en) 2004-04-06 2006-03-28 Sandisk Corporation Variable programming of non-volatile memory
US7142479B2 (en) * 2004-04-19 2006-11-28 Nokia Corporation Addressing data within dynamic random access memory
US7120063B1 (en) 2004-05-07 2006-10-10 Spansion Llc Flash memory cell and methods for programming and erasing
US8522205B2 (en) 2004-05-18 2013-08-27 Oracle International Corporation Packaging multiple groups of read-only files of an application's components into multiple shared libraries
JP2006127460A (ja) 2004-06-09 2006-05-18 Renesas Technology Corp 半導体装置、半導体信号処理装置、およびクロスバースイッチ
US7061817B2 (en) 2004-06-30 2006-06-13 Micron Technology, Inc. Data path having grounded precharge operation and test compression capability
US7116602B2 (en) 2004-07-15 2006-10-03 Micron Technology, Inc. Method and system for controlling refresh to avoid memory cell data losses
US7434024B2 (en) 2004-08-30 2008-10-07 Ati Technologies, Inc. SIMD processor with register addressing, buffer stall and methods
US20060069849A1 (en) 2004-09-30 2006-03-30 Rudelic John C Methods and apparatus to update information in a memory
US7685365B2 (en) 2004-09-30 2010-03-23 Intel Corporation Transactional memory execution utilizing virtual memory
US20060149804A1 (en) 2004-11-30 2006-07-06 International Business Machines Corporation Multiply-sum dot product instruction with mask and splat
US7230851B2 (en) 2004-12-23 2007-06-12 Sandisk Corporation Reducing floating gate to floating gate coupling effect
KR100673901B1 (ko) 2005-01-28 2007-01-25 주식회사 하이닉스반도체 저전압용 반도체 메모리 장치
US7543119B2 (en) 2005-02-10 2009-06-02 Richard Edward Hessel Vector processor
US7624313B2 (en) 2005-03-28 2009-11-24 Hewlett-Packard Development Company, L.P. TCAM BIST with redundancy
US7196928B2 (en) 2005-04-05 2007-03-27 Sandisk Corporation Compensating for coupling during read operations of non-volatile memory
US7187585B2 (en) 2005-04-05 2007-03-06 Sandisk Corporation Read operation for non-volatile storage that includes compensation for coupling
US7193898B2 (en) 2005-06-20 2007-03-20 Sandisk Corporation Compensation currents in non-volatile memory read operations
CN100426793C (zh) * 2005-10-22 2008-10-15 华为技术有限公司 一种存储器的控制器及控制方法
KR100720644B1 (ko) 2005-11-17 2007-05-21 삼성전자주식회사 메모리 장치 및 메모리 그 동작 방법
WO2007069295A1 (ja) 2005-12-13 2007-06-21 Spansion Llc 半導体装置およびその制御方法
JP5129450B2 (ja) 2006-01-16 2013-01-30 ルネサスエレクトロニクス株式会社 情報処理装置
US8077533B2 (en) 2006-01-23 2011-12-13 Freescale Semiconductor, Inc. Memory and method for sensing data in a memory using complementary sensing scheme
JP4989900B2 (ja) 2006-01-31 2012-08-01 ルネサスエレクトロニクス株式会社 並列演算処理装置
US7400532B2 (en) 2006-02-16 2008-07-15 Micron Technology, Inc. Programming method to reduce gate coupling interference for non-volatile memory
KR100755370B1 (ko) 2006-04-17 2007-09-04 삼성전자주식회사 반도체 메모리 장치
TW200828333A (en) 2006-04-28 2008-07-01 Samsung Electronics Co Ltd Sense amplifier circuit and sense amplifier-based flip-flop having the same
US7752417B2 (en) 2006-06-05 2010-07-06 Oracle America, Inc. Dynamic selection of memory virtualization techniques
US7372715B2 (en) 2006-06-14 2008-05-13 Micron Technology, Inc. Architecture and method for NAND flash memory
US8069377B2 (en) 2006-06-26 2011-11-29 Micron Technology, Inc. Integrated circuit having memory array including ECC and column redundancy and method of operating the same
US7724559B2 (en) 2006-07-14 2010-05-25 International Business Machines Corporation Self-referenced match-line sense amplifier for content addressable memories
US7885119B2 (en) 2006-07-20 2011-02-08 Sandisk Corporation Compensating for coupling during programming
US7443729B2 (en) 2006-07-20 2008-10-28 Sandisk Corporation System that compensates for coupling based on sensing a neighbor using coupling
US7692466B2 (en) 2006-08-18 2010-04-06 Ati Technologies Ulc Sense amplifier based flip-flop
US7805587B1 (en) 2006-11-01 2010-09-28 Nvidia Corporation Memory addressing controlled by PTE fields
US8151082B2 (en) 2007-12-06 2012-04-03 Fusion-Io, Inc. Apparatus, system, and method for converting a storage request into an append data storage command
US7471536B2 (en) 2006-12-08 2008-12-30 Texas Instruments Incorporated Match mismatch emulation scheme for an addressed location in a CAM
US7460387B2 (en) 2007-01-05 2008-12-02 International Business Machines Corporation eDRAM hierarchical differential sense amp
US7743303B2 (en) 2007-01-22 2010-06-22 Micron Technology, Inc. Defective memory block remapping method and system, and memory device and processor-based system using same
US7937535B2 (en) 2007-02-22 2011-05-03 Arm Limited Managing cache coherency in a data processing apparatus
US7804718B2 (en) 2007-03-07 2010-09-28 Mosaid Technologies Incorporated Partial block erase architecture for flash memory
US7492640B2 (en) 2007-06-07 2009-02-17 Sandisk Corporation Sensing with bit-line lockout control in non-volatile memory
JP2009009665A (ja) 2007-06-29 2009-01-15 Elpida Memory Inc 半導体記憶装置
US7996749B2 (en) 2007-07-03 2011-08-09 Altera Corporation Signal loss detector for high-speed serial interface of a programmable logic device
US7489543B1 (en) 2007-07-25 2009-02-10 Micron Technology, Inc. Programming multilevel cell memory arrays
US7694195B2 (en) 2007-08-14 2010-04-06 Dell Products L.P. System and method for using a memory mapping function to map memory defects
US7869273B2 (en) 2007-09-04 2011-01-11 Sandisk Corporation Reducing the impact of interference during programming
US7787319B2 (en) 2007-09-06 2010-08-31 Innovative Silicon Isi Sa Sense amplifier circuitry for integrated circuit having memory cell array, and method of operating same
US8042082B2 (en) 2007-09-12 2011-10-18 Neal Solomon Three dimensional memory in a system on a chip
US7965564B2 (en) 2007-09-18 2011-06-21 Zikbit Ltd. Processor arrays made of standard memory cells
US7663928B2 (en) 2007-10-09 2010-02-16 Ememory Technology Inc. Sense amplifier circuit having current mirror architecture
US8156299B2 (en) 2007-10-19 2012-04-10 Virident Systems Inc. Managing memory systems containing components with asymmetric characteristics
US7924628B2 (en) 2007-11-14 2011-04-12 Spansion Israel Ltd Operation of a non-volatile memory array
US7979667B2 (en) 2007-12-10 2011-07-12 Spansion Llc Memory array search engine
US7755960B2 (en) 2007-12-17 2010-07-13 Stmicroelectronics Sa Memory including a performance test circuit
US8495438B2 (en) 2007-12-28 2013-07-23 Texas Instruments Incorporated Technique for memory imprint reliability improvement
US7808854B2 (en) 2008-02-19 2010-10-05 Kabushiki Kaisha Toshiba Systems and methods for data transfers between memory cells
JP5194302B2 (ja) 2008-02-20 2013-05-08 ルネサスエレクトロニクス株式会社 半導体信号処理装置
US8332580B2 (en) 2008-04-02 2012-12-11 Zikbit Ltd. System, method and apparatus for memory with embedded associative section for computations
US20090254694A1 (en) 2008-04-02 2009-10-08 Zikbit Ltd. Memory device with integrated parallel processing
US7957206B2 (en) 2008-04-04 2011-06-07 Micron Technology, Inc. Read circuitry for an integrated circuit having memory cells and/or a memory cell array, and method of operating same
US8339824B2 (en) 2008-07-02 2012-12-25 Cooke Laurence H Nearest neighbor serial content addressable memory
US8555037B2 (en) 2008-08-15 2013-10-08 Apple Inc. Processing vectors using wrapping minima and maxima instructions in the macroscalar architecture
US8417921B2 (en) 2008-08-15 2013-04-09 Apple Inc. Running-min and running-max instructions for processing vectors using a base value from a key element of an input vector
US8259509B2 (en) 2008-08-18 2012-09-04 Elpida Memory, Inc. Semiconductor memory device and method with auxiliary I/O line assist circuit and functionality
ITRM20080543A1 (it) 2008-10-09 2010-04-10 Micron Technology Inc Architettura e metodo per la programmazione di memorie.
US8254191B2 (en) 2008-10-30 2012-08-28 Micron Technology, Inc. Switched interface stacked-die memory architecture
KR101596283B1 (ko) 2008-12-19 2016-02-23 삼성전자 주식회사 개선된 로컬 입출력라인 프리차아지 스킴을 갖는 반도체 메모리 장치
KR101622922B1 (ko) 2009-03-06 2016-05-20 삼성전자 주식회사 개선된 로컬 입출력라인 프리차아지 스킴을 갖는 반도체 메모리 장치
US8484276B2 (en) 2009-03-18 2013-07-09 International Business Machines Corporation Processing array data on SIMD multi-core processor architectures
US8583898B2 (en) 2009-06-12 2013-11-12 Cray Inc. System and method for managing processor-in-memory (PIM) operations
KR20100134235A (ko) 2009-06-15 2010-12-23 삼성전자주식회사 반도체 메모리 장치
US7898864B2 (en) 2009-06-24 2011-03-01 Sandisk Corporation Read operation for memory with compensation for coupling based on write-erase cycles
US8412987B2 (en) 2009-06-30 2013-04-02 Micron Technology, Inc. Non-volatile memory to store memory remap information
US8412985B1 (en) 2009-06-30 2013-04-02 Micron Technology, Inc. Hardwired remapped memory
US8238173B2 (en) 2009-07-16 2012-08-07 Zikbit Ltd Using storage cells to perform computation
US9076527B2 (en) 2009-07-16 2015-07-07 Mikamonu Group Ltd. Charge sharing in a TCAM array
JP4951041B2 (ja) 2009-08-06 2012-06-13 株式会社東芝 半導体記憶装置
US8059438B2 (en) 2009-08-28 2011-11-15 International Business Machines Corporation Content addressable memory array programmed to perform logic operations
US8077532B2 (en) 2009-09-02 2011-12-13 Micron Technology, Inc. Small unit internal verify read in a memory device
US8482975B2 (en) 2009-09-14 2013-07-09 Micron Technology, Inc. Memory kink checking
US8495465B1 (en) 2009-10-15 2013-07-23 Apple Inc. Error correction coding over multiple memory pages
WO2011048522A2 (en) 2009-10-21 2011-04-28 Zikbit Ltd. Neighborhood operations for parallel processing
US9477636B2 (en) 2009-10-21 2016-10-25 Micron Technology, Inc. Memory having internal processors and data communication methods in memory
US8719516B2 (en) 2009-10-21 2014-05-06 Micron Technology, Inc. Memory having internal processors and methods of controlling memory access
US8650232B2 (en) 2009-10-26 2014-02-11 Via Technologies, Inc. System and method for determination of a horizontal minimum of digital values
KR101634340B1 (ko) 2009-11-03 2016-06-28 삼성전자주식회사 반도체 메모리 장치의 프로그램 방법
US8583896B2 (en) 2009-11-13 2013-11-12 Nec Laboratories America, Inc. Massively parallel processing core with plural chains of processing elements and respective smart memory storing select data received from each chain
KR20110054773A (ko) 2009-11-18 2011-05-25 삼성전자주식회사 비트라인 디스털번스를 개선하는 반도체 메모리 장치
US8089815B2 (en) 2009-11-24 2012-01-03 Sandisk Technologies Inc. Programming memory with bit line floating to reduce channel-to-floating gate coupling
US8605015B2 (en) 2009-12-23 2013-12-10 Syndiant, Inc. Spatial light modulator with masking-comparators
JP2011146102A (ja) 2010-01-15 2011-07-28 Elpida Memory Inc 半導体装置及びデータ処理システム
CN102141905B (zh) 2010-01-29 2015-02-25 上海芯豪微电子有限公司 一种处理器体系结构
US8164942B2 (en) 2010-02-01 2012-04-24 International Business Machines Corporation High performance eDRAM sense amplifier
US8533245B1 (en) 2010-03-03 2013-09-10 Altera Corporation Multipliers with a reduced number of memory blocks
EP2564306A4 (en) 2010-04-27 2017-04-26 Cornell University System and methods for mapping and searching objects in multidimensional space
KR101119371B1 (ko) 2010-04-29 2012-03-06 주식회사 하이닉스반도체 반도체 메모리 장치 및 이의 동작 방법
US8559232B2 (en) 2010-05-03 2013-10-15 Aplus Flash Technology, Inc. DRAM-like NVM memory array and sense amplifier design for high temperature and high endurance operation
US8351278B2 (en) 2010-06-23 2013-01-08 International Business Machines Corporation Jam latch for latching memory array output data
KR101143471B1 (ko) 2010-07-02 2012-05-11 에스케이하이닉스 주식회사 센스앰프 및 이를 포함하는 반도체 장치
US20120017039A1 (en) 2010-07-16 2012-01-19 Plx Technology, Inc. Caching using virtual memory
US8462532B1 (en) 2010-08-31 2013-06-11 Netlogic Microsystems, Inc. Fast quaternary content addressable memory cell
US8347154B2 (en) 2010-09-21 2013-01-01 International Business Machines Corporation Use of hashing function to distinguish random and repeat errors in a memory system
US8904115B2 (en) 2010-09-28 2014-12-02 Texas Instruments Incorporated Cache with multiple access pipelines
US8332367B2 (en) 2010-10-20 2012-12-11 International Business Machines Corporation Parallel data redundancy removal
KR101148352B1 (ko) 2010-11-02 2012-05-21 에스케이하이닉스 주식회사 반도체 메모리 장치 및 그의 동작 방법
JP5528987B2 (ja) 2010-11-11 2014-06-25 ピーエスフォー ルクスコ エスエイアールエル 半導体装置
US8553482B2 (en) 2010-11-29 2013-10-08 Apple Inc. Sense amplifier and sense amplifier latch having common control
US9165023B2 (en) 2011-01-31 2015-10-20 Freescale Semiconductor, Inc. Integrated circuit device and method for determining an index of an extreme value within an array of values
KR20120088973A (ko) 2011-02-01 2012-08-09 삼성전자주식회사 로컬 센스앰프 회로 및 이를 포함하는 반도체 메모리 장치
JP2012174016A (ja) 2011-02-22 2012-09-10 Renesas Electronics Corp データ処理装置およびそのデータ処理方法
JP5259765B2 (ja) 2011-03-29 2013-08-07 株式会社東芝 不揮発性半導体メモリ
US8725730B2 (en) 2011-05-23 2014-05-13 Hewlett-Packard Development Company, L.P. Responding to a query in a data processing system
US8706958B2 (en) 2011-09-01 2014-04-22 Thomas Hein Data mask encoding in data bit inversion scheme
CN103907157B (zh) 2011-10-28 2017-10-17 慧与发展有限责任合伙企业 进行行移位的可移位存储器
US8891297B2 (en) 2011-11-01 2014-11-18 Micron Technology, Inc. Memory cell sensing
KR101321481B1 (ko) 2011-11-04 2013-10-28 에스케이하이닉스 주식회사 반도체 메모리 장치 및 이를 위한 테스트 회로
US9830158B2 (en) 2011-11-04 2017-11-28 Nvidia Corporation Speculative execution and rollback
KR20130052971A (ko) 2011-11-14 2013-05-23 삼성전자주식회사 비휘발성 메모리 장치의 동작 방법
WO2013078085A1 (en) 2011-11-22 2013-05-30 Mips Technologies, Inc. Processor with kernel mode access to user space virtual addresses
CN103959237B (zh) 2011-11-30 2016-09-28 英特尔公司 用于提供向量横向比较功能的指令和逻辑
CN104011657B (zh) 2011-12-22 2016-10-12 英特尔公司 用于向量计算和累计的装置和方法
KR20130072869A (ko) 2011-12-22 2013-07-02 에스케이하이닉스 주식회사 프리차지 회로 및 비휘발성 메모리 장치
US20130286705A1 (en) 2012-04-26 2013-10-31 David B. Grover Low power content addressable memory hitline precharge and sensing circuit
US8938603B2 (en) 2012-05-31 2015-01-20 Samsung Electronics Co., Ltd. Cache system optimized for cache miss detection
US20130332707A1 (en) 2012-06-07 2013-12-12 Intel Corporation Speed up big-number multiplication using single instruction multiple data (simd) architectures
US9058135B1 (en) 2012-11-12 2015-06-16 Xilinx, Inc. Synchronization of timers across clock domains in a digital system
US9170948B2 (en) 2012-12-23 2015-10-27 Advanced Micro Devices, Inc. Cache coherency using die-stacked memory device with logic die
KR102062301B1 (ko) 2013-01-03 2020-01-03 삼성전자주식회사 메모리 장치의 페이지 복사 방법 및 메모리 시스템의 페이지 관리 방법
US20140215185A1 (en) 2013-01-29 2014-07-31 Atmel Norway Fetching instructions of a loop routine
US9158667B2 (en) 2013-03-04 2015-10-13 Micron Technology, Inc. Apparatuses and methods for performing logical operations using sensing circuitry
US9171153B2 (en) 2013-05-17 2015-10-27 Hewlett-Packard Development Company, L.P. Bloom filter with memory element
US8964496B2 (en) 2013-07-26 2015-02-24 Micron Technology, Inc. Apparatuses and methods for performing compare operations using sensing circuitry
US8971124B1 (en) 2013-08-08 2015-03-03 Micron Technology, Inc. Apparatuses and methods for performing logical operations using sensing circuitry
US9153305B2 (en) 2013-08-30 2015-10-06 Micron Technology, Inc. Independently addressable memory array address spaces
US9019785B2 (en) 2013-09-19 2015-04-28 Micron Technology, Inc. Data shifting via a number of isolation devices
US9824004B2 (en) 2013-10-04 2017-11-21 Micron Technology, Inc. Methods and apparatuses for requesting ready status information from a memory
US9449675B2 (en) 2013-10-31 2016-09-20 Micron Technology, Inc. Apparatuses and methods for identifying an extremum value stored in an array of memory cells
US9430191B2 (en) 2013-11-08 2016-08-30 Micron Technology, Inc. Division operations for memory
US9135982B2 (en) 2013-12-18 2015-09-15 Intel Corporation Techniques for accessing a dynamic random access memory array
US20150270015A1 (en) 2014-03-19 2015-09-24 Micron Technology, Inc. Memory mapping
US9934856B2 (en) 2014-03-31 2018-04-03 Micron Technology, Inc. Apparatuses and methods for comparing data patterns in memory
CN106415522B (zh) 2014-05-08 2020-07-21 美光科技公司 存储器内轻量一致性
JP6637906B2 (ja) 2014-05-08 2020-01-29 マイクロン テクノロジー,インク. ハイブリッドメモリキューブシステム相互接続ディレクトリベースキャッシュコヒーレンス方法
US9779019B2 (en) 2014-06-05 2017-10-03 Micron Technology, Inc. Data storage layout
US9910787B2 (en) 2014-06-05 2018-03-06 Micron Technology, Inc. Virtual address table
US9711207B2 (en) 2014-06-05 2017-07-18 Micron Technology, Inc. Performing logical operations using sensing circuitry
US10074407B2 (en) 2014-06-05 2018-09-11 Micron Technology, Inc. Apparatuses and methods for performing invert operations using sensing circuitry
US9830999B2 (en) 2014-06-05 2017-11-28 Micron Technology, Inc. Comparison operations in memory
US9704540B2 (en) 2014-06-05 2017-07-11 Micron Technology, Inc. Apparatuses and methods for parity determination using sensing circuitry
US9496023B2 (en) 2014-06-05 2016-11-15 Micron Technology, Inc. Comparison operations on logical representations of values in memory
US9455020B2 (en) 2014-06-05 2016-09-27 Micron Technology, Inc. Apparatuses and methods for performing an exclusive or operation using sensing circuitry
US9711206B2 (en) 2014-06-05 2017-07-18 Micron Technology, Inc. Performing logical operations using sensing circuitry
US9786335B2 (en) 2014-06-05 2017-10-10 Micron Technology, Inc. Apparatuses and methods for performing logical operations using sensing circuitry
US9449674B2 (en) 2014-06-05 2016-09-20 Micron Technology, Inc. Performing logical operations using sensing circuitry
US9898252B2 (en) 2014-09-03 2018-02-20 Micron Technology, Inc. Multiplication operations in memory
US9847110B2 (en) 2014-09-03 2017-12-19 Micron Technology, Inc. Apparatuses and methods for storing a data value in multiple columns of an array corresponding to digits of a vector
US10068652B2 (en) 2014-09-03 2018-09-04 Micron Technology, Inc. Apparatuses and methods for determining population count
US9740607B2 (en) 2014-09-03 2017-08-22 Micron Technology, Inc. Swap operations in memory
US9904515B2 (en) 2014-09-03 2018-02-27 Micron Technology, Inc. Multiplication operations in memory
US9589602B2 (en) 2014-09-03 2017-03-07 Micron Technology, Inc. Comparison operations in memory
US9747961B2 (en) 2014-09-03 2017-08-29 Micron Technology, Inc. Division operations in memory
US9836218B2 (en) 2014-10-03 2017-12-05 Micron Technology, Inc. Computing reduction and prefix sum operations in memory
US9940026B2 (en) 2014-10-03 2018-04-10 Micron Technology, Inc. Multidimensional contiguous memory allocation
US10163467B2 (en) 2014-10-16 2018-12-25 Micron Technology, Inc. Multiple endianness compatibility
US10147480B2 (en) 2014-10-24 2018-12-04 Micron Technology, Inc. Sort operation in memory
US9779784B2 (en) 2014-10-29 2017-10-03 Micron Technology, Inc. Apparatuses and methods for performing logical operations using sensing circuitry
US20160147667A1 (en) * 2014-11-24 2016-05-26 Samsung Electronics Co., Ltd. Address translation in memory
US9747960B2 (en) 2014-12-01 2017-08-29 Micron Technology, Inc. Apparatuses and methods for converting a mask to an index
US10073635B2 (en) 2014-12-01 2018-09-11 Micron Technology, Inc. Multiple endianness compatibility
US10061590B2 (en) 2015-01-07 2018-08-28 Micron Technology, Inc. Generating and executing a control flow
US10032493B2 (en) 2015-01-07 2018-07-24 Micron Technology, Inc. Longest element length determination in memory
US9583163B2 (en) 2015-02-03 2017-02-28 Micron Technology, Inc. Loop structure for operations in memory
CN105912483B (zh) 2015-02-23 2019-06-21 东芝存储器株式会社 存储系统
US9898253B2 (en) 2015-03-11 2018-02-20 Micron Technology, Inc. Division operations on variable length elements in memory
US9741399B2 (en) 2015-03-11 2017-08-22 Micron Technology, Inc. Data shift by elements of a vector in memory
US10146537B2 (en) 2015-03-13 2018-12-04 Micron Technology, Inc. Vector population count determination in memory
US10049054B2 (en) 2015-04-01 2018-08-14 Micron Technology, Inc. Virtual register file
US10140104B2 (en) 2015-04-14 2018-11-27 Micron Technology, Inc. Target architecture determination
US9959923B2 (en) 2015-04-16 2018-05-01 Micron Technology, Inc. Apparatuses and methods to reverse data stored in memory
US10073786B2 (en) 2015-05-28 2018-09-11 Micron Technology, Inc. Apparatuses and methods for compute enabled cache
US9704541B2 (en) 2015-06-12 2017-07-11 Micron Technology, Inc. Simulating access lines
US9921777B2 (en) 2015-06-22 2018-03-20 Micron Technology, Inc. Apparatuses and methods for data transfer from sensing circuitry to a controller
US9996479B2 (en) 2015-08-17 2018-06-12 Micron Technology, Inc. Encryption of executables in computational memory
KR20170050935A (ko) 2015-11-02 2017-05-11 에스케이하이닉스 주식회사 온 칩 ecc 회로를 포함하는 메모리 장치 및 시스템
US9892058B2 (en) * 2015-12-16 2018-02-13 Advanced Micro Devices, Inc. Centrally managed unified shared virtual address space
US9905276B2 (en) 2015-12-21 2018-02-27 Micron Technology, Inc. Control of sensing components in association with performing operations
US9952925B2 (en) 2016-01-06 2018-04-24 Micron Technology, Inc. Error code calculation on sensing circuitry
US10048888B2 (en) 2016-02-10 2018-08-14 Micron Technology, Inc. Apparatuses and methods for partitioned parallel data movement
US9892767B2 (en) 2016-02-12 2018-02-13 Micron Technology, Inc. Data gathering in memory
US9971541B2 (en) 2016-02-17 2018-05-15 Micron Technology, Inc. Apparatuses and methods for data movement
US10956439B2 (en) 2016-02-19 2021-03-23 Micron Technology, Inc. Data transfer with a bit vector operation device
US9899070B2 (en) 2016-02-19 2018-02-20 Micron Technology, Inc. Modified decode for corner turn
US9697876B1 (en) 2016-03-01 2017-07-04 Micron Technology, Inc. Vertical bit vector shift in memory
US10120740B2 (en) * 2016-03-22 2018-11-06 Micron Technology, Inc. Apparatus and methods for debugging on a memory device
US9659605B1 (en) 2016-04-20 2017-05-23 Micron Technology, Inc. Apparatuses and methods for performing corner turn operations using sensing circuitry
US9659610B1 (en) 2016-05-18 2017-05-23 Micron Technology, Inc. Apparatuses and methods for shifting data
KR102479212B1 (ko) 2016-08-17 2022-12-20 삼성전자주식회사 반도체 메모리 장치, 이를 포함하는 메모리 시스템 및 이의 동작 방법
US10282309B2 (en) * 2017-02-24 2019-05-07 Advanced Micro Devices, Inc. Per-page control of physical address space distribution among memory modules
US10628049B2 (en) 2017-07-12 2020-04-21 Sandisk Technologies Llc Systems and methods for on-die control of memory command, timing, and/or control signals

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100325340A1 (en) * 2009-06-23 2010-12-23 Seagate Technology Llc Memory Wear Control
CN102467950A (zh) * 2010-11-09 2012-05-23 三星电子株式会社 伪开漏型输出驱动器、半导体存储器装置及其控制方法
CN105487812A (zh) * 2014-10-01 2016-04-13 三星电子株式会社 用于支持存储器内处理的方法和存储器模块
WO2016187205A1 (en) * 2015-05-21 2016-11-24 Micron Technology, Inc. Translation lookaside buffer in memory

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115617274A (zh) * 2022-10-27 2023-01-17 亿铸科技(杭州)有限责任公司 一种具备坏块管理功能的存内计算装置及操作方法

Also Published As

Publication number Publication date
US20200241803A1 (en) 2020-07-30
WO2019046032A1 (en) 2019-03-07
WO2019046032A9 (en) 2020-03-12
US10416927B2 (en) 2019-09-17
CN111052099B (zh) 2021-04-27
US20190065110A1 (en) 2019-02-28
US20190114113A1 (en) 2019-04-18
CN111052099A (zh) 2020-04-21
DE112018004861T5 (de) 2020-06-10
US20220050635A1 (en) 2022-02-17
US11586389B2 (en) 2023-02-21
US11163495B2 (en) 2021-11-02
US10628085B2 (en) 2020-04-21

Similar Documents

Publication Publication Date Title
CN111052099B (zh) 存储器内处理
US11599475B2 (en) Apparatuses and methods for compute enabled cache
US10949299B2 (en) Error code calculation on sensing circuitry
US10658017B2 (en) Shifting data
US11842191B2 (en) Apparatus and methods related to microcode instructions indicating instruction types
CN110574108B (zh) 存取存储器中的数据
CN109427395B (zh) 用于存储器内操作的设备和方法
US10466928B2 (en) Updating a register in memory
CN109427384B (zh) 存储器内处理
US11514957B2 (en) Bank to bank data transfer

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination