CN112670407A - Rram器件及其形成方法 - Google Patents

Rram器件及其形成方法 Download PDF

Info

Publication number
CN112670407A
CN112670407A CN202010639969.9A CN202010639969A CN112670407A CN 112670407 A CN112670407 A CN 112670407A CN 202010639969 A CN202010639969 A CN 202010639969A CN 112670407 A CN112670407 A CN 112670407A
Authority
CN
China
Prior art keywords
data storage
doped
storage layer
layer
over
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010639969.9A
Other languages
English (en)
Inventor
江法伸
蔡正原
金海光
林杏莲
李璧伸
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN112670407A publication Critical patent/CN112670407A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/24Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/041Modification of switching materials after formation, e.g. doping
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of switching materials, e.g. deposition of layers
    • H10N70/023Formation of switching materials, e.g. deposition of layers by chemical vapor deposition, e.g. MOCVD, ALD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/063Shaping switching materials by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8836Complex metal oxides, e.g. perovskites, spinels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Semiconductor Memories (AREA)

Abstract

本发明的实施例涉及电阻式随机存取存储器(RRAM)器件及其形成方法。在一些实施例中,可以通过在衬底上方形成第一电极结构来执行该方法。在第一电极结构上方形成掺杂的数据存储元件。通过在第一电极结构上方形成第一数据存储层以及在第一数据存储层上方形成第二数据存储层来形成掺杂的数据存储元件。第一数据存储层形成为具有第一掺杂浓度的掺杂剂,并且第二数据存储层形成为具有第二掺杂浓度的掺杂剂,该第二掺杂浓度小于第一掺杂浓度。在掺杂的数据存储元件上方形成第二电极结构。

Description

RRAM器件及其形成方法
技术领域
本发明的实施例涉及RRAM器件及其形成方法。
背景技术
许多现代电子器件包含配置为存储数据的电子存储器。电子存储器可以是易失性存储器或非易失性存储器。易失性存储器在通电时存储数据,而非易失性存储器能够在断电时存储数据。由于电阻式随机存取存储器(RRAM)的简单的结构以及与CMOS逻辑制造工艺的兼容性,因此是下一代非易失性存储器技术的一个有前景的候选。
发明内容
本发明的实施例提供了一种形成电阻式随机存取存储器(RRAM)器件的方法,包括:在衬底上方形成第一电极结构;在所述第一电极结构上方形成掺杂的数据存储元件,其中,形成所述掺杂的数据存储元件包括:在所述第一电极结构上方形成第一数据存储层,其中,所述第一数据存储层形成为具有第一掺杂浓度的掺杂剂;在所述第一数据存储层上方形成第二数据存储层,其中,所述第二数据存储层形成为具有第二掺杂浓度的所述掺杂剂,所述第二掺杂浓度小于所述第一掺杂浓度;以及在所述掺杂的数据存储元件上方形成第二电极结构。
本发明的另一实施例提供了一种形成电阻式随机存取存储器(RRAM)器件的方法,包括:在设置在衬底上方的导电互连层上方形成下部电极结构;在所述下部电极结构上方形成包括多个数据存储层的掺杂的数据存储元件,其中,所述多个数据存储层形成为具有不同浓度的掺杂剂;以及在所述掺杂的数据存储元件上方形成上部电极结构。
本发明的又一实施例提供了一种电阻式随机存取存储器(RRAM)器件,包括:第一电极,位于导电的下部互连层上方;第二电极,位于所述第一电极上方;以及掺杂的数据存储结构,设置在所述第一电极和所述第二电极之间并且具有可变电阻,其中,所述掺杂的数据存储结构包括掺杂剂,所述掺杂剂沿着所述掺杂的数据存储结构的高度具有非均匀掺杂轮廓的掺杂浓度。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该强调,根据工业中的标准实践,各个部件未按比例绘制并且仅用于说明的目的。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1A示出了电阻式随机存取存储器(RRAM)器件的一些实施例的截面图,该电阻式随机存取存储器(RRAM)器件具有配置为向RRAM器件提供良好可靠性的掺杂的数据存储结构。
图1B至图1C示出了具有多个具有不同掺杂浓度的数据存储层的掺杂的数据存储结构的一些实施例的截面图。
图2示出了一些实施例的图,示出了具有掺杂的数据存储结构的RRAM器件的高阻态和低阻态的电阻。
图3A至图3B示出了示出所公开的RRAM器件的掺杂的数据存储结构内的示例性掺杂浓度的一些实施例的图。
图4A至图4B示出了具有掺杂的数据存储结构的RRAM器件的操作的一些实施例。
图5示出了包括具有掺杂的数据存储结构的RRAM器件的集成芯片的一些实施例的截面图。
图6示出了包括具有掺杂的数据存储结构的RRAM器件的集成芯片的一些可选实施例的截面图。
图7至图17示出了截面图的一些实施例,示出了形成集成芯片的方法,集成芯片包括具有掺杂的数据存储结构的RRAM器件。
图18示出了形成集成芯片的方法的一些实施例的流程图,集成芯片包括具有掺杂的数据存储结构的RRAM器件。
图19至图20示出了框图,示出了处理工具的一些实施例,该处理工具配置为形成所公开的RRAM器件的掺杂的数据存储结构。
具体实施方式
以下公开内容提供了许多用于实现提供的主题的不同特征不同的实施例或实例。下面描述了组件和布置的具体实施例或实例以简化本发明。当然,这些仅是实例而不旨在限制。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可以在各个实例中重复参考数字和/或字母。该重复是为了简单和清楚的目的,并且其本身不指示讨论的各个实施例和/或配置之间的关系。
此外,为了便于描述,本文中可以使用诸如“在…下方”、“在…下面”、“下部”、“在…上面”、“上部”等的间隔关系术语,以描述如图中所示的一个元件或部件与另一元件或部件的关系。除了图中所示的方位外,间隔关系术语旨在包括器件在使用或操作工艺中的不同方位。装置可以以其它方式定位(旋转90度或在其它方位),并且在本文中使用的间隔关系描述符可以同样地作相应地解释。
电阻式随机存取存储器(RRAM)器件配置为通过在与第一数据状态(例如,“0”)对应的高电阻状态和与第二数据状态(例如,“1”)对应的低电阻状态之间切换来存储数据。为了实现这种“电阻切换”,RRAM器件具有下部电极,该下部电极通过具有可变电阻的数据存储结构与上部电极分隔开。数据存储结构通常包括能够响应于施加的偏压而改变其内部电阻的高k介电材料(例如,氧化铪)。
例如,数据存储结构的电阻通常由数据存储结构内是否存在包括多个氧空位的导电丝来确定。如果存在导电丝,则数据存储结构具有与低电阻状态相关联的相对较低的电阻。如果不存在导电丝,则数据存储结构具有与高电阻状态相关联的相对较高的电阻。为了在低电阻状态和高电阻状态之间变化,施加的偏压可以将氧空位移入或移出数据存储结构,以形成延伸穿过数据存储结构的导电丝或破坏延伸穿过数据存储结构的导电丝。
已经意识到,随着时间的流逝(例如,在大量的读取和/或写入循环之后),流经数据存储结构的电流可能会破坏数据存储结构内的氧-氧键,从而增加数据存储结构内存在的氧空位的数量。尽管在操作期间可以很容易地将靠近上部电极的氧空位移入和移出数据存储结构,但是远离上部电极的氧空位可能更难去除,导致数据存储结构内的过量氧空位。当RRAM器件处于低电阻状态时,过量的氧空位将导致导电丝变粗(例如,沿着数据存储结构的下半部分)。较粗的丝使RRAM器件从低电阻状态切换到高电阻状态更加困难,降低了RRAM器件的复位效率。随着时间的流逝,这种降低可能减小读取窗口(例如,从处于低电阻状态和高电阻状态的RRAM器件输出的信号之间的差异),并且导致器件故障。
在一些实施例中,本发明涉及一种具有掺杂的数据存储结构的RRAM器件,该掺杂的数据存储结构配置为减轻读取窗口随时间的退化。掺杂的数据存储结构掺杂有与氧具有高结合能的一种或多种掺杂剂。一种或多种掺杂剂的高结合能(例如,大于约500KJ/mol)防止掺杂的数据存储结构内的键断裂,从而减少了掺杂的数据存储结构内的氧空位的数量。通过减少掺杂的数据存储结构内的氧空位的数量,减轻在大量读取和/或写入循环之后的导电丝的厚度的增加,从而保持良好的复位效率,良好的复位效率提供稳定的读取窗口和良好的数据保持。
图1A示出了包括电阻式随机存取存储器(RRAM)器件的集成芯片100的一些实施例的截面图,RRAM器件具有配置为向RRAM器件提供良好可靠性的掺杂的数据存储结构。
集成芯片100包括由设置在衬底102上方的层间介电(ILD)结构104围绕的RRAM器件101。RRAM器件101包括布置在下部电极108和上部电极116之间的掺杂的数据存储结构110。下部电极108通过一个或多个下部互连层106(例如,金属通孔和/或金属线)与衬底102分隔开。上部电极116设置在掺杂的数据存储结构110和上部互连层118(例如,金属通孔和/或金属线)之间。
掺杂的数据存储结构110配置为通过在与第一数据状态相关联的高电阻状态(例如,“0”)和与第二数据状态(例如,“1”)相关联的低电阻状态之间经历可逆变化来存储不同的数据状态。例如,为了在掺杂的数据存储结构110内实现低电阻状态,可以将第一组偏置条件施加到下部电极108和上部电极116。第一组偏置条件可以将氧从掺杂的数据存储结构110驱至上部电极116,从而在掺杂的数据存储结构110上形成氧空位114的导电丝112。可选地,为了在掺杂的数据存储结构110内实现高电阻状态,可以将第二组偏置条件施加到下部电极108和上部电极116。第二组偏置条件可以通过将氧从上部电极116驱至掺杂的数据存储结构110而使导电丝112断裂。
掺杂的数据存储结构110掺杂有一种或多种掺杂剂,掺杂剂配置为与氧形成键,该键的结合能大于氧-氧键的结合能(例如,约498kJ/mol)。例如,在一些实施例中,掺杂剂可以以大于约500kJ/mol的结合能与氧结合。在其他实施例中,掺杂剂可以以大于约600kJ/mol的结合能与氧结合。在各个实施例中,掺杂的数据存储结构110可以包括氧化铪、氧化锆、氧化铝、氧化钽、氧化铪铝、氧化铪锆等。在各个实施例中,掺杂剂可以包括氮、氟、碳、磷等。在一些实施例中,掺杂剂可以使得掺杂的数据存储结构110包括金属氮氧化物(例如,氮氧化铪、氮氧化钽等)、金属氟氧化物(例如,氟氧化铪、氟氧化锆等)、金属碳氧化物(例如,碳氧化铪、碳氧化铝等)、金属磷氧化物(例如,磷氧化铪、磷氧化锆等)等。在一些这样的实施例中,包含碳的掺杂剂可以形成具有约1076KJ/mol的结合能的碳-氧键,和/或包含氮的掺杂剂可以形成具有约630KJ/mol的结合能的氮-氧键。掺杂剂和氧分子之间的结合能将氧分子牢牢地结合到掺杂的数据存储结构110内的掺杂剂,从而防止掺杂的数据存储结构110内的键断裂并且减轻随着时间的流逝的掺杂的数据存储结构110内的氧空位的数量的增加。
在一些实施例中,掺杂剂具有相对于掺杂的数据存储结构110的厚度T变化的非均匀掺杂轮廓(即,沿着掺杂的数据存储结构110的高度是非均匀的)。例如,在一些实施例中,非均匀掺杂轮廓可以具有在与下部电极108和上部电极116分隔开非零距离的位置处出现的峰值掺杂浓度。因为掺杂剂的结合能大于氧-氧键的结合能,所以掺杂剂的非均匀掺杂轮廓可以用于控制存在于掺杂的数据存储结构110内的不同位置处的氧空位的数量。例如,在一些实施例中,沿着掺杂的数据存储结构110的下半部分,与沿着上半部分相比,非均匀掺杂轮廓可以具有更高的掺杂剂浓度。在这样的实施例中,掺杂轮廓在上半部分内提供足够的氧空位以形成导电丝,同时限制沿着掺杂的数据存储结构110的下半部分的氧空位的数量(并且减轻了导电丝112的宽度的增加)。控制存在于掺杂的数据存储结构110内的不同位置处的氧空位的数量使得RRAM器件能够维持低电阻状态以及高电阻状态和低电阻状态之间的良好读取窗口。
图1B至图1C示出了掺杂的数据存储结构110的一些实施例的截面图120和122,该掺杂的数据存储结构110包括具有不同掺杂浓度的掺杂剂的多个数据存储层,掺杂剂以大于约500kJ/m的结合能与氧结合。虽然图1B至图1C示出了具有两个和三个数据存储层的掺杂的数据存储结构的实施例,但是应当理解,所公开的掺杂的数据存储结构(例如,图1A的掺杂的数据存储结构110)不限于这样的实施例。相反,所公开的掺杂的数据存储结构可以具有任何数量的数据存储层。
如图1B的截面图120所示,在一些实施例中,多个数据存储层110a-110b可以包括具有第一掺杂浓度的第一数据存储层110a和具有第二掺杂浓度的第二数据存储层110b。在一些实施例中,第一掺杂浓度可以大于第二掺杂浓度。在一些实施例中,第一掺杂浓度可以是非零掺杂浓度,而第二掺杂浓度可以基本上是零。在一些实施例中,第一和第二掺杂浓度可以在第一数据存储层110a和第二数据存储层110b之间的界面处是离散的(例如,不连续的)。例如,在一些实施例中,第一数据存储层110a可以具有在约7%和约8%之间的第一掺杂浓度,而第二数据存储层110b可以接触第一数据存储层110a并且具有在约2%和约3%之间的第二掺杂浓度。在其他实施例中,第一和第二掺杂浓度可以在界面上连续。
如图1C的截面图122所示,在一些实施例中,多个数据存储层110a-110b可以包括具有第一掺杂浓度的第一数据存储层110a、具有第二掺杂浓度的第二数据存储层110b和具有第三掺杂浓度的第三数据存储层110c。在一些实施例中,第一掺杂浓度可以小于第二掺杂浓度,并且第二掺杂浓度可以大于第三掺杂浓度。在其他实施例中,第一掺杂浓度可以大于第二掺杂浓度,并且第二掺杂浓度可以大于第三掺杂浓度。在一些实施例中,第一掺杂浓度和第二掺杂浓度可以是非零掺杂浓度,而第三掺杂浓度可以基本上是零。在一些实施例中,第一、第二和第三掺杂浓度可以在相邻数据存储层之间的界面处是离散的(例如,不连续的),而在其他实施例中,第一、第二和第三掺杂浓度可以在该界面上是连续的。
图2示出了处于低电阻状态和高电阻状态的公开的RRAM器件(例如,图1的RRAM器件101)的电阻值的一些实施例的图形200。图形200示出了RRAM器件的沿y轴的电阻以及沿x轴的读取和/或写入循环的数量。
如图形200所示,高电阻状态202的电阻大于低电阻状态204的电阻。在读取操作期间,施加到RRAM器件的信号导致输出具有一定值的信号(例如电流),该值取决于RRAM器件的电阻。例如,处于低电阻状态(例如,指示第一数据状态“1”)的RRAM器件将导致输出信号具有与处于高电阻状态(例如,指示第二数据状态“0”)的RRAM器件不同的值。读取窗口是在高电阻状态和低电阻状态下从RRAM器件读出的信号(例如,电流)之间的差。在RRAM器件(例如,图1的RRAM器件101)的操作期间,期望维持相对较大的读取窗口(例如,由高电阻状态202的电阻与低电阻状态204的电阻之间的差206所定义,在3-4个数量级之间),因为较大的读取窗口使得在读取操作期间更容易区分不同的数据状态。
如果掺杂的数据存储结构110内的掺杂剂的浓度太低(例如,小于约1%),则高电阻状态202和低电阻状态204的电阻之间的差206将随着时间的流逝而缩小(由线208表示),并且最终变得太小而不能提供足够大的读取窗口来准确地区分不同的数据状态。然而,如果掺杂的数据存储结构110内的掺杂剂的浓度在约1%与约20%之间,则随着读取和/或写入循环的数量的增加(例如,至超过10000个循环),RRAM器件的高电阻状态202和低电阻状态204的电阻之间的差206保持基本恒定(例如,在约103-105欧姆之间),使得RRAM器件保持足够大的读取窗口以可靠地操作。
在各个实施例中,掺杂的数据存储结构内的掺杂剂的掺杂轮廓可以具有不同的轮廓。图3A至图3B示出了图形300和304,示出了所公开的RRAM器件内的掺杂的数据存储结构的一些示例性掺杂轮廓。图形300和304示出了沿y轴的RRAM器件的掺杂的数据存储结构110内的位置以及沿x轴的掺杂的数据存储结构110内的掺杂剂的浓度。在各个实施例中,掺杂的数据存储结构110内的掺杂剂的浓度可以是已经被掺杂剂离子取代的氧离子的百分比、掺杂剂的重量百分比、掺杂剂的摩尔(原子)百分比等。
如图3A的图形300和图3B的图形304所示,掺杂的数据存储结构110具有厚度T。在一些实施例中,厚度T可以在约1nm与约20nm之间的范围内。在其他实施例中,厚度T可以在约2nm与约20nm之间的范围内。在其他实施例中,厚度T可以在约5nm与约10nm之间的范围内。
在一些实施例中,如图3A的图形300所示,掺杂轮廓302具有在厚度T上连续延伸的非零掺杂浓度。在这样的实施例中,掺杂轮廓302具有在掺杂的数据存储结构110的顶面和底面之间连续延伸的非零掺杂浓度。非零掺杂浓度是由于将掺杂剂(例如,氮、氟、碳、磷等)引入金属氧化物(例如,氧化铪、氧化钽、氧化锆、氧化铝、氧化铪铝、氧化铪锆等)而产生的,以形成具有金属氮氧化物、金属氟氧化物、金属磷氧化物等的掺杂的数据存储结构110。
在一些这样的实施例中,掺杂的数据存储结构110可以包括相同的材料,该材料具有在掺杂的数据存储结构110的顶面和底面之间连续延伸的梯度掺杂浓度。例如,在一些实施例中,掺杂的数据存储结构110可以包括氮氧化铪、氮氧化钽、氟氧化铪、碳氧化铪、磷氧化铪等。在其他实施例中(未示出),掺杂的数据存储结构110可以包括不同的材料,这些材料彼此堆叠以在掺杂的数据存储结构110的顶面和底面之间延伸。不同的材料共同具有在掺杂的数据存储结构110的顶面和底面之间连续延伸的梯度掺杂浓度。例如,掺杂的数据存储结构可以包括第一掺杂的数据存储层和上面的第二掺杂的数据存储层,该第一掺杂的数据存储层是具有第一掺杂浓度的第一材料(例如,氮氧化铪),并且第二掺杂的数据存储层是具有第二掺杂浓度的第二材料(例如,氮氧化钽)。
在其他实施例中,如图3B的图形304所示,掺杂轮廓306具有在小于厚度T的距离上延伸的非零掺杂浓度。例如,掺杂的数据存储结构110可以具有非零掺杂浓度,非零掺杂浓度与基本上零掺杂浓度的掺杂的数据存储结构110的顶部分隔开非零距离d1。在一些这样的实施例中,掺杂的数据存储结构110可以包括具有不同材料的层。例如,掺杂的数据存储结构110可以包括第一数据存储层110a和第二数据存储层110b,该第一数据存储层110a包括第一材料(例如,氮氧化铪、氮氧化钽、氮氧化锆、氮氧化铝、氮氧化铪铝、氮氧化铪锆等),第二数据存储层110b包括第二材料(例如,氧化铪、氧化钽、氧化锆、氧化铝、氧化铪铝、氧化铪锆等)。
如图3A的图形300和图3B的图形304所示,掺杂的数据存储结构110内的掺杂剂的掺杂轮廓302在与下部电极108垂直分隔开第二非零距离d2的位置P1处具有峰值掺杂剂浓度CP。在一些实施例中,第二非零距离d2在厚度T的约5%与约40%之间的范围内。例如,在一些实施例中,位置P1在掺杂的数据存储结构110的底部上方约1埃与约80埃之间。尽管可以容易地将靠近上部电极116的氧空位移出掺杂的数据存储结构110,但是远离上部电极116的氧空位可能更难以去除,在数据存储结构内产生过量的氧空位。在厚度T的约5%和约40%之间的位置处具有峰值掺杂浓度,防止了氧空位在难以去除的距离处累积(例如,移动到上部电极116),从而防止了掺杂的数据存储结构110内的氧空位的累积。在一些实施例中,掺杂的数据存储结构110具有沿着掺杂的数据存储结构110的厚度不对称的掺杂轮廓。
在一些实施例中,掺杂轮廓302具有在约1%与约20%之间的范围内的峰值掺杂浓度CP(例如,掺杂剂的摩尔百分比)。在一些额外的实施例中,掺杂浓度具有在约1%与约10%之间的范围内的峰值掺杂浓度CP。具有大于约20%的掺杂浓度可以减少在掺杂的数据存储结构110中存在的氧空位的数量,从而使得难以在掺杂的数据存储结构110内形成导电丝。可选地,小于约1%的掺杂浓度可能无法充分减轻掺杂的数据存储结构110内的过量氧空位的增加,从而不能减轻RRAM器件的读取窗口的劣化。
图4A至图4B示出了在操作的各个阶段期间的RRAM器件的一些实施例的截面图400和402。
如图4A的截面图400所示,RRAM器件101包括设置在下部电极108和上部电极116之间的掺杂的数据存储结构110。在低电阻状态(例如,存储第一数据状态“1”),导电丝402延伸穿过RRAM器件101的掺杂的数据存储结构110一段距离dL,该距离dL约等于掺杂的数据存储结构110的厚度T。导电丝402包括共同限定导电丝402的多个氧空位404。如图形406所示,导电丝402垂直地延伸穿过掺杂的数据存储结构110的峰值掺杂浓度CP的位置P1。在一些实施例中,导电丝402的宽度在上部电极116和下部电极108之间减小。
图4B的截面图408示出了处于高电阻状态的RRAM器件101。在高电阻状态(例如,存储第二数据状态“0”),部分导电丝410在掺杂的数据存储结构110内延伸距离dH(dH<dL≈T)。如图形412所示,部分导电丝410穿过掺杂的数据存储结构110延伸到垂直位于上部电极116和峰值掺杂浓度CP的位置P1之间的位置。换句话说,部分导电丝410不垂直地延伸穿过掺杂的数据存储结构110的峰值掺杂浓度CP的位置P1。这是因为峰值掺杂浓度CP导致大量的氧-掺杂剂键具有相对较高的结合能(例如,大于氧-氧键的结合能)。相对较高的结合能减轻了掺杂的数据存储结构110的下部内的过量的氧空位的数量。
图5示出了具有RRAM器件(带有掺杂的数据存储结构)的集成芯片500的一些额外的实施例。
集成芯片500包括RRAM器件501,该RRAM器件501设置在布置在衬底102上方的层间介电(ILD)结构104内。在一些实施例中,ILD结构104包括多个堆叠的层间介电(ILD)层504a-504e。多个堆叠的ILD层504a-504e包括一个或多个下部ILD层504a-504d,一个或多个下部ILD层504a-504d横向地围绕一个或多个下部互连层503,下部互连层503包括导电接触件506、互连线508和互连通孔510。在一些实施例中,多个堆叠的ILD层504a-504e可以包括二氧化硅、SiCOH、氟硅酸盐玻璃、磷酸盐玻璃(例如,硼磷硅酸盐玻璃)等中的一种或多种。在一些实施例中,一个或多个下部互连层503可以包括导电金属,例如诸如铜、铝和/或钨。在一些实施例中,多个堆叠的ILD层504a-504e中的两个或多个相邻的层可以由包括氮化物、碳化物等的蚀刻停止层(未示出)分隔开。
一个或多个下部互连层503配置为将RRAM器件501连接至布置在衬底102内的存取器件502。在一些实施例中,存取器件502可以包括具有栅极结构502c的MOSFET器件,栅极结构502c横向地布置在源极区域502a和漏极区域502b之间。在一些实施例中,栅极结构502c可以包括通过栅极电介质与衬底102分隔开的栅电极。在一些这样的实施例中,源极区域502a连接至源极线SL,并且栅极结构502c连接至字线WL。在其他实施例中,存取器件502可以包括HEMT、BJT、JFET等。
下部绝缘层512位于一个或多个下部ILD层504a-504d上方,并且包括侧壁,该侧壁限定延伸穿过下部绝缘层512的开口。在各个实施例中,下部绝缘层512可以包括氮化硅、二氧化硅、碳化硅等。
RRAM器件501布置在下部绝缘层512的侧壁之间以及下部绝缘层512上方。在一些实施例中,RRAM器件501包括下部电极108,下部电极108通过掺杂的数据存储结构110与上部电极116分隔开。在一些实施例中,下部电极108和上部电极116可以包括金属,诸如钽、钛、氮化钽、氮化钛、铂、镍、铪、锆、钌、铱等。在一些实施例中,下部电极108可以包括扩散阻挡层(例如,氮化钽)和上面的金属(例如,钛)。
在一些实施例中,掺杂的数据存储结构110可以包括具有非零掺杂浓度的第一数据存储层110a和具有基本上零掺杂浓度的第二数据存储层110b。在一些实施例中,第一数据存储层110a可以具有由第二数据存储层110b完全覆盖的上表面。在一些额外的实施例中,第二数据存储层110b可以在上部电极116的横向外侧具有比在上部电极116的正下方更小的厚度。
在一些实施例中,覆盖层514布置在掺杂的数据存储结构110和上部电极116之间。覆盖层514配置为存储氧,这可以促进掺杂的数据存储结构110内的电阻变化。在一些实施例中,覆盖层514可以包括诸如钛、钽、铪、铂、铝等的金属。在其他实施例中,覆盖层514可以包括金属氮化物,诸如氮化钛、氮化钽等。在其他实施例中,覆盖层514可以包括金属氧化物,诸如氧化钛、氧化铪、氧化锆、氧化锗、氧化铯等。在一些可选实施例中,覆盖层514可以布置在下部电极108和掺杂的数据存储结构110之间。在这样的实施例中,掺杂的数据存储结构110可以具有峰值掺杂浓度,峰值掺杂浓度相对于掺杂的数据存储结构110的底部更靠近掺杂的数据存储结构110的顶部。
在一些实施例中,掩蔽层516可以设置在上部电极116上方。在一些实施例中,掩蔽层516可以包括氮氧化硅、二氧化硅、等离子体增强的氮化硅等。在一些实施例中,可以沿着覆盖层514和上部电极116的侧壁设置侧壁间隔件518。在一些实施例中,侧壁间隔件518可以包括氧化物(例如,富硅氧化物)、氮化物(例如,氮化硅)、碳化物(例如,碳化硅)等。在一些实施例中,侧壁间隔件518可以是与掩蔽层516相同的材料,使得相同的材料沿着上部电极116的侧壁和上表面连续地延伸。侧壁间隔件518和掩蔽层516布置在RRAM器件501和多个堆叠的ILD层504a-504e中的上部ILD层504e之间。
在一些实施例中,上部互连结构519连接至上部电极116。在一些实施例中,上部互连结构519可以包括顶部电极通孔520和位于顶部电极通孔520上方的上部互连线522。顶部电极通孔520延伸穿过掩蔽层516以接触上部电极116。在一些实施例中,顶部电极通孔520和/或上部互连线522可以包括铝、铜、钨等。在一些实施例中,上部互连线522进一步连接至位线BL。
图6示出了具有RRAM器件(带有掺杂的数据存储结构)的集成芯片600的一些可选实施例。
集成芯片600包括RRAM器件601,RRAM器件601具有通过掺杂的数据存储结构110和覆盖层514与上部电极116分隔开的下部电极108。下部电极108、掺杂的数据存储结构110、覆盖层514和上部电极116分别具有由外部区域604横向围绕的内部区域602。内部区域602内的层分别具有凹进的上表面,该凹进的上表面横向布置在外部区域604内的相应层的上表面之间以及在垂直方向上位于外部区域604内的相应层的上表面下方。例如,掺杂的数据存储结构110具有位于内部区域602内的上表面,该上表面横向位于外部区域604内的掺杂的数据存储结构110的上表面之间并且在垂直方向上位于外部区域604内的掺杂的数据存储结构110的上表面下方。在一些实施例中,当在RRAM器件601的顶视图中观察时,外部区域604可以连续地围绕内部区域602以不间断的环延伸。
在一些实施例中,下部电极108、掺杂的数据存储结构110、覆盖层514和上部电极116可以分别在最外侧壁之间具有基本相等的厚度。在一些可选实施例中,掺杂的数据存储结构110的内部区域602可以具有第一厚度,并且掺杂的数据存储结构110的外部区域604可以具有小于第一厚度的第二厚度。
图7至图17示出了截面图700-1700的一些实施例,示出了形成包括RRAM器件的集成芯片的方法,RRAM器件具有掺杂的数据存储结构。虽然关于方法描述了图7至图17,但是应当理解,图7至图17中公开的结构不限于这种方法,而是可以独立地作为独立于该方法的结构。
如图7的截面图700所示,在衬底102内形成存取器件502。在各个实施例中,衬底102可以是任何类型的半导体主体(例如,硅、SiGe、SOI等),诸如半导体晶圆和/或位于晶圆上的一个或多个管芯以及与其相关联的任何其他类型的半导体和/或外延层。在一些实施例中,存取器件502可以包括通过在衬底102上方沉积栅极介电膜和栅电极膜而形成的晶体管。随后图案化栅极介电膜和栅电极膜以形成具有栅极电介质502d和栅电极502e的栅极结构502c。随后可以注入衬底102,以在栅结构502c的相对侧上的衬底102内形成源极区域502a和漏极区域502b。
如图8的截面图800所示,在衬底102上方的一个或多个下部层间介电(ILD)层504a-504b内形成一个或多个下部互连层503。在一些实施例中,一个或多个下部互连层503可以包括导电接触件506和互连线508。可以通过在衬底102上方形成一个或多个下部ILD层504a-504b之一,选择性地蚀刻ILD层(例如氧化物、低k电介质或超低k电介质)以在ILD层内限定导通孔和/或沟槽,在导通孔和/或沟槽内形成导电材料(例如铜、铝等),以及执行平坦化工艺(例如,化学机械平坦化工艺)来形成一个或多个下部互连层503。
如图9的截面图900所示,在一个或多个下部互连层503和一个或多个下部ILD层504a-504b上形成下部绝缘层902。在一些实施例中,下部绝缘层902可以包括氮化硅、碳化硅或类似的复合介电膜。在一些实施例中,可以通过沉积技术(例如,物理气相沉积(PVD)、化学气相沉积(CVD)、PE-CVD、原子层沉积(ALD)等)形成厚度在约200埃和约300埃之间的范围内的下部绝缘层902。
如图10的截面图1000所示,在下部绝缘层(图9的902)上方形成第一掩蔽层1002。然后在未由第一掩蔽层1002覆盖的区域中将下部绝缘层(图9的902)选择性地暴露于第一蚀刻剂1004(例如,干蚀刻剂)。第一蚀刻剂1004在下部绝缘层512中限定开口1006,开口1006穿过下部绝缘层512延伸到一个或多个下互连层503。
如图11的截面图1100所示,在一个或多个下部互连层503和下部绝缘层512上方形成下部电极结构1102。在一些实施例中,通过沉积一个或多个下部电极膜1104-1106来形成下部电极结构1102。例如,可以通过沉积第一下部电极膜1104以及随后在第一下部电极膜1104上方沉积第二下部电极膜1106来形成下部电极结构1102。第一下部电极膜1104从开口1006内延伸到下部绝缘层512上面的位置。在一些实施例中,第一下部电极膜1104可以包括氮化钽、氮化钛等。随后可以执行平坦化工艺(例如,化学机械平坦化工艺)。在一些实施例中,平坦化工艺在下部绝缘层512上方产生具有在约100埃与约300埃之间的范围内的厚度的第一下部电极膜1104。第二下部电极膜1106形成在第一下部电极1104上方。在一些实施例中,第二下部电极膜1106可以包括钽、钛等。在一些实施例中,第二下部电极膜1106可以形成为在约100埃与约200埃之间的范围内的厚度。
图12A至图12D示出了截面图,示出形成掺杂的数据存储元件1220的一些实施例。图12A至图12D通过沉积工艺,接着是单独的掺杂工艺的方式形成了掺杂的数据存储元件1220。虽然图12A至图12D示出了包括两个数据存储层的掺杂的数据存储元件1220的形成,但是应当理解,可以在掺杂的数据存储元件1220内形成更多数量的数据存储层(例如,10、100、1000等)。例如,在一些实施例中,可以重复图12A至图12B的步骤以形成多个掺杂的数据存储层(例如,具有第一掺杂浓度的第一掺杂的数据存储层和具有大于第一掺杂浓度的第二掺杂浓度的第二掺杂的数据存储层),接下来是图12C和/或图12D的步骤,以形成一个或多个未掺杂的数据存储层和/或一个或多个掺杂的数据存储层(例如,具有小于第二掺杂浓度的第三掺杂浓度)。
如图12A的截面图1200所示,在下部电极结构1102上方形成第一未掺杂的数据存储层1202。在一些实施例中,可以通过第一ALD循环形成第一未掺杂的数据存储层1202,第一ALD循环顺序地交替气态化学前体的脉冲。例如,在第一ALD循环期间,在真空下将前体(例如四(乙基甲基氨基)铪(TEMAHf)、二氧化铪、四氯化铪、四氯化锆、钽基前体等)提供给处理室。随后,用惰性载气(例如氮气、氩气等)净化处理室,以去除任何未反应的前体或反应副产物。然后将相对的反应物前体(例如,氧气、水等)引入处理室中以形成第一未掺杂的数据存储层1202。随后,用惰性载气(例如,氮气、氩气等)净化处理室。
如图12B的截面图1204所示,通过将第一未掺杂的数据存储层暴露于掺杂剂1206来掺杂第一未掺杂的数据存储层(例如,图12A的1202),以形成具有第一掺杂剂浓度的第一掺杂的数据存储层1208。在一些实施例中,可以通过等离子体处理来掺杂第一未掺杂的数据存储层1202。例如,第一未掺杂的数据存储层1202可以暴露于含氮等离子体(例如,N2等离子体)。在其他实施例中,可以通过扩散掺杂工艺来掺杂第一未掺杂的数据存储层1202。例如,第一未掺杂的数据存储层1202可以暴露于处于升高的温度(例如,大于100℃)的氮气。在其他实施例中,第一未掺杂的数据存储层1202可以通过诸如注入工艺等的可选方法来掺杂。
在一些实施例中,第一未掺杂的数据存储层1202可以原位形成和掺杂(例如,在第一未掺杂的数据存储层1202的形成和掺杂之间不破坏真空的情况下)。在一些这样的实施例中,第一未掺杂的数据存储层1202可以在同一处理室内形成和掺杂。在其他这样的实施例中,第一未掺杂的数据存储层1202可以在第一处理室内形成,并且在第二处理室内掺杂。在其他实施例中,第一未掺杂的数据存储层1202可以非原位地形成和掺杂(例如,在第一未掺杂的数据存储层1202的形成和掺杂之间破坏真空)。
如图12C的截面图1210所示,第二未掺杂的数据存储层1212形成在第一掺杂的数据存储层1208上方。第二未掺杂的数据存储层1212可以由第二ALD循环形成,第二ALD循环依次交替气态化学前体的脉冲。在一些实施例中,第二ALD循环可以通过与第一ALD循环相同的工艺来执行(关于图12A描述)。在一些实施例中,第二未掺杂的数据存储层1212可以与第一掺杂的数据存储层1208原位形成。
如图12D的截面图1214所示,在一些实施例中,通过将第二未掺杂的数据存储层暴露于掺杂剂1216来掺杂第二未掺杂的数据存储层(图12C的1212),以形成具有非零掺杂浓度的第二数据存储层1218并且限定掺杂的数据存储元件1220。在各个实施例中,可以通过等离子体处理、热退火工艺、注入工艺等来掺杂第二未掺杂的数据存储层1212。在一些实施例中,将第二未掺杂的数据存储层1212掺杂到与第一掺杂浓度不同(例如,大于或小于)的第二掺杂浓度。在一些实施例中,第一和第二掺杂浓度可以在第一掺杂的数据存储层1208和第二数据存储层1218之间的界面处是离散的(例如,不连续的),而在其他实施例中,第一和第二掺杂浓度在界面上可以是连续的。在一些实施例中,第二未掺杂的数据存储层1212可以原位形成和掺杂。在一些实施例中,由于第二未掺杂的数据存储层的掺杂,第一掺杂的数据存储层1208沿上表面的掺杂浓度可以大于沿下表面的掺杂浓度。
在其他实施例中,可以跳过对第二未掺杂的数据存储层(图12C的1212)的掺杂(例如,使得覆盖膜直接形成在图12C的第二未掺杂的数据存储层1212上)。在这样的实施例中,掺杂的数据存储元件1220可以具有掺杂轮廓,该掺杂轮廓具有基本上为零的掺杂浓度,该掺杂浓度从掺杂的数据存储元件1220的顶部连续地延伸到第一掺杂的数据存储层1208的顶面。
图13A至图13D示出了截面图,示出形成掺杂的数据存储元件1220的一些可选实施例。图13A至图13D通过包括掺杂剂的原子层沉积(ALD)工艺形成掺杂的数据存储元件1220。虽然图13A至图13D示出了两个数据存储层的形成,但是应当理解,可以在掺杂的数据存储元件1220内形成更多数量的数据存储层(例如10、100、1000等)。此外,虽然图13A至图13D描述了使用ALD工艺来形成掺杂的数据存储元件1220,但是在其他实施例中,可以使用可选的沉积工艺(例如,CVD、PVD等)来形成掺杂的数据存储元件1220。
如图13A的截面图1300所示,在下部电极结构1102上方形成第一单层1302。在一些实施例中,可以通过将前体气体1301引入真空的处理室中来形成第一单层1302。在一些实施例中,前体气体1301可以包括四(乙基甲基氨基)铪(TEMAHf)、二氧化铪、四氯化铪、四氯化锆、钽基前体等。随后,用惰性载气(例如,氮气、氩气等)净化处理室,以去除前体气体1301的任何副产物。
如图13B的截面图1304所示,在第一单层1302上方形成第二单层1306,以形成第一掺杂的数据存储层1208。在一些实施例中,可以通过将包含掺杂剂的相对的反应物前体气体1305引入处理室来形成第二单层1306。在一些实施例中,包含掺杂剂的相对的反应物前体气体1305可包含铵(NH4)、溶解在水中的氮化铵、氢氧化铵等。包含掺杂剂的相对的反应物前体气体1305的分子与第一单层1302相互作用以形成具有第一掺杂剂浓度的第一掺杂的数据存储层1208。
如图13C的截面图1308所示,在第一掺杂的数据存储层1208上方形成第三单层1310。可以通过将前驱气体1301引入真空的处理室中来形成第三单层1310。在一些实施例中,前体气体1301可以包括四(乙基甲基氨基)铪(TEMAHf)、二氧化铪、四氯化铪、钽基前体等。随后,用惰性载气(例如氮气、氩气等)净化处理室,以去除任何未反应的前体或反应副产物。
如图13D的截面图1312所示,在一些实施例中,在第三单层1310上方形成第四单层1314。第四单层1314的分子与第三单层1310相互作用以形成第二数据存储层1218,并且限定掺杂的数据存储元件1220。在一些实施例中,可以通过将包含掺杂剂的相对的反应物前体气体1313引入处理室中来形成第四单层1314,使得第二数据存储层1218具有非零掺杂浓度。在一些这样的实施例中,包含掺杂剂的相对的反应物前体气体1305可以包括铵(NH4)、溶解在水中的氮化铵、氢氧化铵等。在其他实施例中,可以通过将没有掺杂剂的相对的反应物前体气体引入处理室中来形成第四单层1314,使得第二数据存储层1218具有基本上为零的掺杂浓度。在一些这样的实施例中,相对的反应物前体气体可以包括氧气、水等。
如图14的截面图1400所示,在一些实施例中,可以在掺杂的数据存储元件1220上方形成覆盖膜1402。在各个实施例中,覆盖膜1402可以包括钛、钽、氮化钛、氮化钽、铪、铝或类似的材料。在一些可选实施例中,覆盖膜1402可以在形成掺杂的数据存储元件1220之前形成,使得覆盖膜1402位于下部电极结构1102和掺杂的数据存储元件1220之间。在一些实施例中,覆盖膜1402可以通过沉积技术(例如,PVD、CVD、PE-CVD、溅射、ALD等)形成。
随后在覆盖膜1402上方形成上部电极结构1404。在一些实施例中,上部电极结构1404可以包括金属,诸如钛、钽等。在一些实施例中,可以通过沉积技术(例如,PVD、CVD、PE-CVD、溅射、ALD等)形成上部电极结构1404。
如图15A至图15E所示,执行一个或多个图案化工艺以限定RRAM器件501。
如图15A的截面图1500所示,在上部电极结构1404上方形成掩蔽层516。在一些实施例中,掩蔽层516可以包括氮化物、碳化物等。在一些实施例中,可以通过沉积工艺(例如,CVD、PVD、PE-CVD、ALD等)和随后的光刻图案化工艺来形成掩蔽层516。
如图15B的截面图1502所示,将上部电极结构(图15A的1404)和覆盖膜(图15A的1402)暴露于一种或多种蚀刻剂1504。一种或多种蚀刻剂1504配置为通过选择性地去除上部电极结构(图15A的1404)的未掩蔽部分来限定上部电极116,并且通过选择性地去除覆盖膜(图15A的1402)的未掩蔽部分来进一步限定覆盖层514。在一些实施例中,一种或多种蚀刻剂1504也可以去除掺杂的数据存储元件(图14的1220)和/或下部电极结构(图14的1102)的未掩蔽部分。在各个实施例中,一种或多种蚀刻剂1504可以包括具有蚀刻化学物的干蚀刻剂和/或包括氢氟酸(HF)、KOH等的湿蚀刻剂,蚀刻化学物包括氯和/或氟物质(例如,CF4、CHF3、C4F8等)。
如图15C的截面图1506所示,在衬底102上方形成间隔件层1508。在一些实施例中,间隔件层1508覆盖数据存储元件1220和掩蔽层516的上表面。在一些这样的实施例中,间隔件层1508可以进一步覆盖覆盖层514、上部电极116和掩蔽层516的侧壁。在一些实施例中,间隔件层1508可以包括氧化物、氮化物、碳化物等。在一些实施例中,间隔件层1508可以包括与掩蔽层516相同的材料。在一些实施例中,可以通过沉积工艺(例如,CVD、PVD、PE-CVD等)来形成间隔件层1508。
如图15D的截面图1510所示,将间隔件层(图15C的1508)暴露于一种或多种蚀刻剂1512。一种或多种蚀刻剂1512从水平表面去除间隔件层(图15C的1508),沿着上部电极116的相对侧留下间隔件层(图15C的1508)作为侧壁间隔件518。在各个实施例中,一种或多种蚀刻剂1512可以包括干蚀刻剂和/或湿蚀刻剂。
如图15E的截面图1514所示,将数据存储结构(图15D的1220)和/或下部电极结构(图15D的1102)选择性地暴露于一种或多种蚀刻剂1516。一种或多种蚀刻剂配置为限定RRAM器件501的数据存储结构110和/或下部电极108。在一些实施例中,根据包括侧壁间隔件518和掩蔽层516的掩模选择性地图案化数据存储结构(图15D的1220)和/或下部电极结构(图15D的1102)。在各个实施例中,一种或多种蚀刻剂1516可以包括干蚀刻剂和/或湿蚀刻剂。
如图16的截面图1600所示,可以在RRAM器件501和下部绝缘层512上方形成包封层1602。随后在包封层1602上方形成上部层间介电(ILD)层1604。包封层1602具有邻接下部电极108、掺杂的数据存储结构110和侧壁间隔件518的第一侧,以及邻接上部ILD层1604的第二侧。在一些实施例中,包封层1602可以包括氮化物、碳化物、氧化物等。
如图17的截面图1700所示,在上部ILD层504e内形成上部互连结构519。上部互连结构519穿过上部ILD层504d延伸到与上部电极116邻接的位置。在一些实施例中,上部互连结构519包括顶部电极通孔520和上部互连线522。在一些实施例中,可以通过蚀刻上部ILD层504e以形成穿过包封层1602和掩蔽层516延伸到上部电极116的开口来形成上部互连结构519。然后用金属(例如,铜和/或铝)填充开口,以形成顶部电极通孔520和上部互连线522。
图18示出了形成包括RRAM器件(具有掺杂的数据存储结构)的集成芯片的方法1800的一些实施例的流程图。
虽然以下将方法1800示出和描述为一系列步骤或事件,但是应当理解,这样的步骤或事件的示出顺序不应以限制性的意义来解释。例如,一些步骤可以以不同的顺序发生和/或与除了本文图示和/或描述的那些步骤或事件之外的其他步骤或事件同时发生。另外,可能不需要所有示出的步骤来实现本文描述的一个或多个方面或实施例。此外,本文描述的一个或多个步骤可以在一个或多个单独的步骤和/或阶段中执行。
在步骤1802中,在衬底内形成存取器件。图7示出了对应于步骤1802的截面图700的一些实施例。
在步骤1804中,在一个或多个下部层间介电(ILD)层内形成一个或多个下部互连层503。图8示出了对应于步骤1804的截面图800的一些实施例。
在步骤1806中,在一个或多个下部互连层和一个或多个下部ILD层上方形成下部绝缘结构。图9至图10示出了对应于步骤1806的截面图900-1000的一些实施例。
在步骤1808中,在一个或多个下部互连层和下部绝缘结构上方形成下部电极结构。图11示出了对应于步骤1808的截面图1100的一些实施例。
在步骤1810中,在下部电极结构上方形成掺杂的数据存储元件。可以通过多步骤工艺来形成掺杂的数据存储元件,以包括分别具有不同浓度的掺杂剂的多个数据存储层,从而使掺杂的数据存储元件具有随着距下部电极结构的距离而变化的掺杂剂浓度。图12A至图12D示出了对应于步骤1810的截面图的一些实施例。图13A至图13D示出了对应于步骤1810的截面图的一些可选实施例。
在步骤1812中,在一些实施例中,在掺杂的数据存储元件上方形成覆盖膜。图14示出了对应于步骤1812的截面图1400的一些实施例。
在步骤1814中,在覆盖膜上方形成上部电极结构。图14示出了对应于步骤1814的截面图1400的一些实施例。
在步骤1816中,执行一个或多个图案化工艺以限定RRAM器件。图15示出了对应于步骤1818的截面图1500的一些实施例。
在步骤1818中,在RRAM器件上方形成上部ILD层。图16示出了对应于步骤1818的截面图1600的一些实施例。
在步骤1820中,在RRAM器件上形成上部互连结构。图17示出了对应于步骤1820的截面图1700的一些实施例。
图19示出了示出处理工具1900的一些实施例的框图,该处理工具1900配置为形成所公开的RRAM器件的掺杂的数据存储结构。
处理工具1900包括连接至第一处理室1906、第二处理室1914和装载端口1920的传送室1902。在一些实施例中,传送室1902可以通过第一门1912a连接至第一处理室1906,通过第二门1912b连接至第二处理室1914,通过第三门1912c连接至装载端口1920。传送室1902包括晶圆传送机器人1904。在一些实施例中,晶圆传送机器人1904可以包括机械臂1904a,该机械臂1904a连接至配置成保持晶圆的晶圆刀片1904b。
第一晶圆卡盘1908布置在第一处理室1906内。在一些实施例中,第一晶圆卡盘1908可以包括真空卡盘。ALD气体源1910连接至第一处理室1906。ALD气体源1910配置为向第一处理室1906提供前体气体和相对的反应物前体。在一些实施例中,ALD气体源1910可以包括第一气体源1910a和第二气体源1910c,第一气体源1910a通过第一阀1910b选择性地连接至第一处理室1906,第二气体源1910c通过第二阀1910d选择性地连接至第一处理室1906。在一些实施例中,第一气体源1910a可以包括第一温度控制浴,并且第二气体源1910c可以包括第二温度控制浴。在一些实施例中,ALD气体源1910还可以包括等离子体源(未示出),该等离子体源配置为增强ALD工艺沉积的速度。
第二晶圆卡盘1916布置在第二处理室1914内。掺杂剂源1918连接至第二处理室1914,并且配置为向第二晶圆卡盘1916上的衬底提供掺杂剂。在一些实施例中,掺杂剂源1918可以包括离子注入工具、气相沉积工具、等离子体发生器等。
装载端口1920配置为容纳保持一个或多个衬底的载体1922。在各个实施例中,载体1922可以包括FOUP(前开式统集盒)、晶圆盒等。在一些实施例中,一个或多个衬底可以包括半导体晶圆(例如,200mm晶圆、300mm晶圆、450mm晶圆等)。
一个或多个真空泵1924连接至传送室1902、第一处理室1906和/或第二处理室1914。在一些实施例中,一个或多个真空泵1924配置为维持传送室1902、第一处理室1906和/或第二处理室1914内的真空。
在操作期间,晶圆传送机器人1904配置为将衬底从载体1922提供至第一处理室1906(沿着线1926),其中第一未掺杂的数据存储层(例如,图12A的第一未掺杂的数据存储层1202)形成在衬底上方。晶圆传送机器人1904随后配置为将衬底从第一处理室1906传送到第二处理室1914(沿着线1928),其中对第一未掺杂的数据存储层进行掺杂以形成第一掺杂的数据存储层(例如,图12B的第一掺杂的数据存储层1208)。晶圆传送机器人1904可以随后在第一处理室1906和第二处理室1914之间传送衬底,以形成限定掺杂的数据存储元件(例如,图12D的掺杂的数据存储元件1220)的附加掺杂的数据存储层(例如,图12D的第二数据存储层1218)。一旦完成掺杂的数据存储元件的形成,晶圆传送机器人1904就将衬底传送到传送室1902内的载体1922(沿着线1930)。
图20示出了示出处理工具2000的一些可选实施例的框图,该处理工具2000配置为形成所公开的RRAM器件的掺杂的数据存储结构。
处理工具2000包括连接至第一处理室1906和装载端口1920的传送室1902。在一些实施例中,传送室1902可以通过第一门1912a连接至第一处理室1906,并且通过第二门1912b连接至装载端口1920。传送室1902包括晶圆传送机器人1904。
第一晶圆卡盘1908布置在第一处理室1906内。ALD气体源1910连接至第一处理室1906。ALD气体源1910配置为向第一处理室1906提供前体气体和相对的反应物前体。在一些实施例中,ALD气体源1910可以包括通过第一阀1910b选择性地连接至第一处理室1906的第一气体源1910a和通过第二阀1910d选择性地连接至第一处理室1906的第二气体源1910c。
在一些实施例中,第二气体源1910c可以配置为向第一处理室提供掺杂气体(例如,包括氮、氟、碳、磷等的气体)以在衬底上方形成掺杂的数据存储层。在这样的实施例中,在操作期间,晶圆传送机器人1904配置为将衬底从载体1922提供给第一处理室1906(沿着线2002),其中在衬底上方形成第一掺杂的数据存储层(例如,图13B的第一掺杂的数据存储层1208)和附加数据存储层(例如,图13D的第二数据存储层1218)以限定掺杂的数据存储元件(例如,图13D的掺杂的数据存储元件1220)。一旦完成掺杂的数据存储元件的形成,晶圆转移机器人1904就将衬底传送到传送室1902内的载体1922(沿着线2004)。
在一些可选实施例中,掺杂剂源1918连接至第一处理室1906,并且配置为向第一晶圆卡盘1908上的衬底提供掺杂剂。在这样的实施例中,在操作期间,晶圆传送机器人1904配置为将衬底从载体1922提供到第一处理室1906(沿着线2002),其中在衬底上方形成第一未掺杂的数据存储层(例如,图12A的第一未掺杂的数据存储层1202)。掺杂剂源1918配置为随后掺杂第一未掺杂的数据存储层以形成第一掺杂的数据存储层(例如,图12B的第一掺杂的数据存储层1208)。随后在第一处理室1906内形成一个或多个附加数据存储层(例如,图12D的第二数据存储层1218),以限定掺杂的数据存储元件(例如,图12D的掺杂的数据存储元件1220)。
因此,本发明涉及具有掺杂的数据存储结构的电阻式随机存取存储器(RRAM)器件以及相关联的形成方法,该掺杂的数据存储结构配置为给RRAM器件提供良好的耐用性(即,可靠性)。
在一些实施例中,本发明涉及一种形成电阻式随机存取存储器(RRAM)器件的方法。该方法包括在衬底上方形成第一电极结构;通过在第一电极结构上方形成第一数据存储层,以及在第一数据存储层上方形成第二数据存储层,在第一电极结构上方形成掺杂的数据存储元件;在掺杂的数据存储元件上方形成第二电极结构;第一数据存储层形成为具有第一掺杂浓度的掺杂剂,第二数据存储层形成为具有第二掺杂浓度的掺杂剂,该第二掺杂浓度小于第一掺杂浓度。在一些实施例中,第一数据存储层和第二数据存储层通过原子层沉积工艺形成。在一些实施例中,形成第一数据存储层包括在第一电极结构上方形成第一未掺杂的数据存储层;以及用掺杂剂掺杂第一未掺杂的数据存储层,以形成具有所述第一掺杂浓度的第一数据存储层。在一些实施例中,形成掺杂的数据存储元件还包括在第一数据存储层上方形成第二未掺杂的数据存储层;掺杂第二未掺杂的数据存储层以形成具有第二掺杂浓度的第二数据存储层;以及在第二数据存储层上方形成第三数据存储层,第三数据存储层的第三掺杂浓度小于第二掺杂浓度。在一些实施例中,第二数据存储层具有非零掺杂浓度。在一些实施例中,第二数据存储层与第一数据存储层原位形成。在一些实施例中,第二数据存储层形成为具有基本上为零的掺杂浓度。在一些实施例中,掺杂剂包括氮、氟、碳或磷。在一些实施例中,掺杂的数据存储元件形成至一定厚度;并且掺杂的数据存储元件在与第一电极结构分隔开厚度的约5%至约40%之间的范围内的距离处具有峰值掺杂剂浓度。在一些实施例中,掺杂的数据存储元件具有在约1%与约20%之间的峰值掺杂剂浓度。
在其他实施例中,本发明涉及一种形成电阻式随机存取存储器(RRAM)器件的方法。该方法包括在设置在衬底上方的导电互连层上方形成下部电极结构;在下部电极结构上方形成具有多个数据存储层的掺杂的数据存储元件,该多个数据存储层形成为具有不同浓度的掺杂剂;以及在掺杂的数据存储元件上方形成上部电极结构。在一些实施例中,掺杂剂配置为以大于约500kJ/mol的结合能与氧形成键。在一些实施例中,掺杂的数据存储元件具有厚度;并且掺杂剂在与下部电极结构分隔开厚度的约5%至约40%之间的范围内的距离处具有峰值掺杂剂浓度。在一些实施例中,掺杂的数据存储元件具有掺杂轮廓,该掺杂轮廓具有从掺杂的数据存储元件的底面连续地延伸到掺杂的数据存储元件的顶面的非零掺杂浓度。在一些实施例中,掺杂的数据存储元件具有掺杂轮廓,该掺杂轮廓具有从掺杂的数据存储元件的顶面连续地延伸到与掺杂的数据存储元件的底面分隔开非零距离的位置的基本上为零的掺杂浓度。在一些实施例中,掺杂的数据存储元件具有沿着掺杂的数据存储元件的厚度不对称的掺杂轮廓。
在其他实施例中,本发明涉及一种电阻式随机存取存储器(RRAM)器件。RRAM器件包括:第一电极,位于导电的下部互连层上方;第二电极,位于第一电极上方;以及掺杂的数据存储结构,设置在第一电极和第二电极之间并且具有可变电阻,该掺杂的数据存储结构具有掺杂剂,该掺杂剂沿着掺杂的数据存储结构的高度具有非均匀掺杂轮廓的掺杂浓度。在一些实施例中,掺杂剂包括氮、氟、碳或磷。在一些实施例中,掺杂剂在与第一电极分隔开掺杂的数据存储结构的高度的约5%与约40%之间的范围内的距离处具有峰值掺杂剂浓度。在一些实施例中,掺杂的数据存储结构具有在约1%与约10%之间的峰值掺杂剂浓度。
上面概述了若干实施例的特征,使得本领域人员可以更好地理解本发明的方面。本领域人员应该理解,它们可以容易地使用本发明作为基础来设计或修改用于实施与本文所介绍实施例相同的目的和/或实现相同优势的其它工艺和结构。本领域技术人员也应该意识到,这种等同配置并且不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中它们可以做出多种变化、替换以及改变。

Claims (10)

1.一种形成电阻式随机存取存储器(RRAM)器件的方法,包括:
在衬底上方形成第一电极结构;
在所述第一电极结构上方形成掺杂的数据存储元件,其中,形成所述掺杂的数据存储元件包括:
在所述第一电极结构上方形成第一数据存储层,其中,所述第一数据存储层形成为具有第一掺杂浓度的掺杂剂;
在所述第一数据存储层上方形成第二数据存储层,其中,所述第二数据存储层形成为具有第二掺杂浓度的所述掺杂剂,所述第二掺杂浓度小于所述第一掺杂浓度;以及
在所述掺杂的数据存储元件上方形成第二电极结构。
2.根据权利要求1所述的方法,其中,所述第一数据存储层和所述第二数据存储层通过原子层沉积工艺形成。
3.根据权利要求1所述的方法,其中,形成所述第一数据存储层包括:
在所述第一电极结构上方形成第一未掺杂的数据存储层;以及
用所述掺杂剂掺杂所述第一未掺杂的数据存储层,以形成具有所述第一掺杂浓度的所述第一数据存储层。
4.根据权利要求3所述的方法,其中,形成所述掺杂的数据存储元件还包括:
在所述第一数据存储层上方形成第二未掺杂的数据存储层;
掺杂所述第二未掺杂的数据存储层以形成具有所述第二掺杂浓度的所述第二数据存储层;以及
在所述第二数据存储层上方形成第三数据存储层,其中,所述第三数据存储层的第三掺杂浓度小于所述第二掺杂浓度。
5.根据权利要求1所述的方法,其中,所述第二数据存储层具有非零掺杂浓度。
6.根据权利要求1所述的方法,其中,所述第二数据存储层与所述第一数据存储层原位形成。
7.根据权利要求1所述的方法,其中,所述第二数据存储层形成为具有为零的掺杂浓度。
8.根据权利要求1所述的方法,其中,所述掺杂剂包括氮、氟、碳或磷。
9.一种形成电阻式随机存取存储器(RRAM)器件的方法,包括:
在设置在衬底上方的导电互连层上方形成下部电极结构;
在所述下部电极结构上方形成包括多个数据存储层的掺杂的数据存储元件,其中,所述多个数据存储层形成为具有不同浓度的掺杂剂;以及
在所述掺杂的数据存储元件上方形成上部电极结构。
10.一种电阻式随机存取存储器(RRAM)器件,包括:
第一电极,位于导电的下部互连层上方;
第二电极,位于所述第一电极上方;以及
掺杂的数据存储结构,设置在所述第一电极和所述第二电极之间并且具有可变电阻,其中,所述掺杂的数据存储结构包括掺杂剂,所述掺杂剂沿着所述掺杂的数据存储结构的高度具有非均匀掺杂轮廓的掺杂浓度。
CN202010639969.9A 2019-10-15 2020-07-06 Rram器件及其形成方法 Pending CN112670407A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/601,771 2019-10-15
US16/601,771 US11165021B2 (en) 2019-10-15 2019-10-15 RRAM device with improved performance

Publications (1)

Publication Number Publication Date
CN112670407A true CN112670407A (zh) 2021-04-16

Family

ID=75155557

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010639969.9A Pending CN112670407A (zh) 2019-10-15 2020-07-06 Rram器件及其形成方法

Country Status (5)

Country Link
US (2) US11165021B2 (zh)
KR (1) KR102328386B1 (zh)
CN (1) CN112670407A (zh)
DE (1) DE102019129936A1 (zh)
TW (1) TWI749484B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10164182B1 (en) * 2017-06-26 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Switching layer scheme to enhance RRAM performance
WO2023272550A1 (en) 2021-06-30 2023-01-05 Yangtze Memory Technologies Co., Ltd. Phase-change memory devices, systems, and methods of operating thereof

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5770881A (en) * 1996-09-12 1998-06-23 International Business Machines Coproration SOI FET design to reduce transient bipolar current
US20090237983A1 (en) * 2008-03-19 2009-09-24 Qimonda Ag Integrated circuit including memory element doped with dielectric material
US20120292587A1 (en) * 2011-05-16 2012-11-22 Kabushiki Kaisha Toshiba Nonvolatile memory device
CN102844869A (zh) * 2010-02-18 2012-12-26 苏沃塔公司 电子器件和系统,以及用于该电子器件和系统的制造和使用方法
US20130040447A1 (en) * 2010-04-15 2013-02-14 Shankar Swaminathan Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9246085B1 (en) * 2014-07-23 2016-01-26 Intermolecular, Inc. Shaping ReRAM conductive filaments by controlling grain-boundary density
CN106159083A (zh) * 2014-08-14 2016-11-23 台湾积体电路制造股份有限公司 用于rram结构的氧化物膜方案
KR20170023350A (ko) * 2015-08-21 2017-03-03 에스케이하이닉스 주식회사 저항변화 메모리 소자 및 이를 포함하는 메모리 장치
US20170352807A1 (en) * 2016-06-03 2017-12-07 SK Hynix Inc. Method of fabricating switching element and method of manufacturing resistive memory device
CN107887507A (zh) * 2016-09-29 2018-04-06 华邦电子股份有限公司 电阻式随机存取存储器、其制造方法及其操作方法
US20180175292A1 (en) * 2016-08-11 2018-06-21 Arm Ltd. Fabrication of correlated electron material films with varying atomic or molecular concentrations of dopant species

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI610476B (zh) * 2017-03-16 2018-01-01 華邦電子股份有限公司 電阻式隨機存取記憶體結構及其形成方法
US10164182B1 (en) * 2017-06-26 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Switching layer scheme to enhance RRAM performance

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5770881A (en) * 1996-09-12 1998-06-23 International Business Machines Coproration SOI FET design to reduce transient bipolar current
US20090237983A1 (en) * 2008-03-19 2009-09-24 Qimonda Ag Integrated circuit including memory element doped with dielectric material
CN102844869A (zh) * 2010-02-18 2012-12-26 苏沃塔公司 电子器件和系统,以及用于该电子器件和系统的制造和使用方法
US20130040447A1 (en) * 2010-04-15 2013-02-14 Shankar Swaminathan Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US20120292587A1 (en) * 2011-05-16 2012-11-22 Kabushiki Kaisha Toshiba Nonvolatile memory device
US9246085B1 (en) * 2014-07-23 2016-01-26 Intermolecular, Inc. Shaping ReRAM conductive filaments by controlling grain-boundary density
CN106159083A (zh) * 2014-08-14 2016-11-23 台湾积体电路制造股份有限公司 用于rram结构的氧化物膜方案
KR20170023350A (ko) * 2015-08-21 2017-03-03 에스케이하이닉스 주식회사 저항변화 메모리 소자 및 이를 포함하는 메모리 장치
US20170352807A1 (en) * 2016-06-03 2017-12-07 SK Hynix Inc. Method of fabricating switching element and method of manufacturing resistive memory device
US20180175292A1 (en) * 2016-08-11 2018-06-21 Arm Ltd. Fabrication of correlated electron material films with varying atomic or molecular concentrations of dopant species
CN109564969A (zh) * 2016-08-11 2019-04-02 Arm有限公司 制造具有不同原子或分子浓度的掺杂剂物质的相关电子材料膜
CN107887507A (zh) * 2016-09-29 2018-04-06 华邦电子股份有限公司 电阻式随机存取存储器、其制造方法及其操作方法

Also Published As

Publication number Publication date
US20210111343A1 (en) 2021-04-15
KR102328386B1 (ko) 2021-11-19
TWI749484B (zh) 2021-12-11
US11165021B2 (en) 2021-11-02
TW202117938A (zh) 2021-05-01
KR20210045278A (ko) 2021-04-26
DE102019129936A1 (de) 2021-04-15
US20220052260A1 (en) 2022-02-17

Similar Documents

Publication Publication Date Title
CN110957343B (zh) 集成芯片和形成集成芯片的方法
US10516106B2 (en) Electrode structure to improve RRAM performance
CN111226316B (zh) 用于3d nand可扩展性的多层堆叠
US10714587B2 (en) Method for fabricating metal gate devices and resulting structures
US10453514B2 (en) Ferroelectric memory device and method of manufacturing the same
US9548348B2 (en) Methods of fabricating an F-RAM
US11482668B2 (en) RRAM structure
US11196001B2 (en) 3D ReRAM formed by metal-assisted chemical etching with replacement wordline and wordline separation
CN112582400A (zh) 半导体器件和形成半导体器件的方法
US20220052260A1 (en) Rram device with improved performance
US20100181549A1 (en) Phase-Changeable Random Access Memory Devices Including Barrier Layers and Metal Silicide Layers
KR20110106233A (ko) 어레이 소자의 스페이서 보호 및 전기 배선
KR20020031283A (ko) 반도체집적회로장치 및 그 제조방법
US6458604B1 (en) Method for fabricating capacitor
KR20210157350A (ko) 제한된 전하 트랩 층
CN112992789A (zh) 半导体装置的制作方法
US11832439B2 (en) Semiconductor device with pad structure and method for fabricating the same
TWI778692B (zh) 半導體裝置及其形成方法
TWI604645B (zh) 供可變電阻式記憶體用之貴金屬/非貴金屬電極
US20230374670A1 (en) Etch process for oxide of alkaline earth metal
TW202320237A (zh) 積體晶片
US10305035B2 (en) Preparation method of Cu-based resistive random access memory
TW202201507A (zh) 半導體裝置的形成方法
KR20220022464A (ko) 3d nand를 위한 선택 게이트 분리
CN116685150A (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination