TWI749484B - 電阻式隨機存取記憶體元件及其形成方法 - Google Patents

電阻式隨機存取記憶體元件及其形成方法 Download PDF

Info

Publication number
TWI749484B
TWI749484B TW109108748A TW109108748A TWI749484B TW I749484 B TWI749484 B TW I749484B TW 109108748 A TW109108748 A TW 109108748A TW 109108748 A TW109108748 A TW 109108748A TW I749484 B TWI749484 B TW I749484B
Authority
TW
Taiwan
Prior art keywords
data storage
doped
layer
forming
storage layer
Prior art date
Application number
TW109108748A
Other languages
English (en)
Other versions
TW202117938A (zh
Inventor
江法伸
蔡正原
海光 金
林杏蓮
李璧伸
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202117938A publication Critical patent/TW202117938A/zh
Application granted granted Critical
Publication of TWI749484B publication Critical patent/TWI749484B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/041Modification of switching materials after formation, e.g. doping
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/24Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of switching materials, e.g. deposition of layers
    • H10N70/023Formation of switching materials, e.g. deposition of layers by chemical vapor deposition, e.g. MOCVD, ALD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/063Shaping switching materials by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8836Complex metal oxides, e.g. perovskites, spinels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Semiconductor Memories (AREA)

Abstract

本揭露內容是關於一種形成電阻式隨機存取記憶體 (RRAM)元件的方法。在一些實施例中,方法可藉由在基底上方形成第一電極結構來執行。摻雜資料儲存部件形成於第一電極結構上方。摻雜資料儲存部件藉由在第一電極結構上方形成第一資料儲存層及在第一資料儲存層上方形成第二資料儲存層來形成。第一資料儲存層經形成為具有摻質的第一摻雜濃度,且第二資料儲存層經形成為具有小於第一摻雜濃度的摻質的第二摻雜濃度。第二電極結構形成於摻雜資料儲存部件上方。

Description

電阻式隨機存取記憶體元件及其形成方法
本發明實施例提供一種電阻式隨機存取記憶體元件及其形成方法。
許多現代電子元件含有經組態以儲存資料的電子記憶體。電子記憶體可為揮發性記憶體或非揮發性記憶體。揮發性記憶體在通電時儲存資料,而非揮發性記憶體能夠在移除電源時儲存資料。電阻式隨機存取記憶體(Resistive random access memory;RRAM)由於其簡單的結構及其與CMOS邏輯製造製程的兼容性而作為用於下一代非揮發性記憶體技術的一個有前景的候選。
本發明實施例提供一種形成電阻式隨機存取記憶體(RRAM)元件的方法,包括:在基底上方形成第一電極結構;在第一電極結構上方形成摻雜資料儲存部件,其中形成摻雜資料儲存部件包括:在第一電極結構上方形成第一資料儲存層,其中第一資料儲存層經形成為具有摻質的第一摻雜濃度;在第一資料儲 存層上方形成第二資料儲存層,其中第二資料儲存層經形成為具有摻質的第二摻雜濃度,第二摻雜濃度小於第一摻雜濃度;以及在摻雜資料儲存部件上方形成第二電極結構。
本發明實施例提供一種形成電阻式隨機存取記憶體元件的方法,包括:在安置於基底上方的導電內連線層上方形成下部電極結構;在下部電極結構上方形成包括多個資料儲存層的摻雜資料儲存部件,其中多個資料儲存層經形成為具有摻質的不同濃度;以及在摻雜資料儲存部件上方形成上部電極結構。
本發明實施例提供一種電阻式隨機存取記憶體元件,包括:第一電極,位於導電下部內連線層上方;第二電極,位於第一電極上方;以及摻雜資料儲存結構,安置於第一電極與第二電極之間且具有可變電阻,其中摻雜資料儲存結構包括具有摻雜濃度的摻質,摻雜濃度具有沿著摻雜資料儲存結構的高度的不均勻摻雜分佈。
100、500、600:積體晶片
101、501、601:RRAM元件
102:基底
104:層間介電結構
106、503:下部內連線層
108:下部電極
110:摻雜資料儲存結構
110a:第一資料儲存層
110b:第二資料儲存層
110c:第三資料儲存層
112、402:導電絲
114、404:氧空缺
116:上部電極
118:上部內連線層
120、122、400、408、700、800、900、1000、1100、1200、1204、1210、1214、1300、1304、1308、1312、1400、1500、1502、1506、1510、1514、1600、1700:橫截面圖
200、300、304、406、412:曲線圖
202:高電阻狀態
204:低電阻狀態
206:差
208、1926、1928、1930、2002、2004:線
302、306:摻雜分佈
410:部分導電絲
502:存取元件
502a:源極區
502b:汲極區
502c:閘極結構
502d:閘極介電層
502e:閘極電極
504a、504b、504c、504d、504e:堆疊層間介電層
506:導電接觸窗
508:內連線導線
510:內連線通孔
512、902:下部絕緣層
514:頂蓋層
516:罩幕層
518:側壁間隙壁
519:上部內連線結構
520:頂部電極通孔
522:上部內連線導線
602:內區
604:外區
1002:第一罩幕層
1004:第一蝕刻劑
1006:開口
1102:下部電極結構
1104、1106:下部電極膜
1202:第一未摻雜資料儲存層
1206、1216:摻質
1208:第一摻雜資料儲存層
1212:第二未摻雜資料儲存層
1218:第二資料儲存層
1220:摻雜資料儲存部件
1301:前驅體氣體
1302:第一單層
1305、1313:含有摻質的逆反應物前驅體氣體
1306:第二單層
1310:第三單層
1314:第四單層
1402:頂蓋膜
1404:上部電極結構
1504、1512、1516:蝕刻劑
1508:間隙壁層
1602:包封層
1604:上部層間介電層
1800:方法
1802、1804、1806、1808、1810、1812、1814、1816、1818、1820:動作
1900、2000:處理工具
1902:轉移腔室
1904:晶圓轉移機器
1904a:機器手臂
1904b:晶片刀具
1906:第一處理腔室
1908:第一晶圓吸盤
1910:ALD氣體源
1910a:第一氣體源
1910b:第一閥門
1910c:第二氣體源
1910d:第二閥門
1912a:第一門
1912b:第二門
1912c:第三門
1914:第二處理腔室
1916:第二晶圓吸盤
1918:摻質源
1920:裝載埠
1922:載體
1924:真空泵
BL:位元線
C P :峰值摻質濃度
d 1 :非零距離
d 2 :第二非零距離
d II d L :距離
P 1 :位置
SL:源極線
T:厚度
WL:字元線
結合隨附圖式閱讀以下詳細描述時會最佳地理解本揭露內容的態樣。應注意,根據業界中的標準慣例,各種特徵未按比例繪製。事實上,可出於論述清楚起見而任意地增加或縮減各種特徵的尺寸。
圖1A示出具有摻雜資料儲存結構的電阻式隨機存取記憶體(RRAM)元件的一些實施例的橫截面圖,所述摻雜資料儲存結構經組態以為RRAM元件提供良好可靠性。
圖1B至圖1C示出具有不同摻雜濃度的多個資料儲存層的摻 雜資料儲存結構的一些實施例的橫截面圖。
圖2示出具有摻雜資料儲存結構的RRAM元件的高電阻狀態及低電阻狀態的電阻的一些實施例的曲線圖。
圖3A至圖3B示出所揭露的RRAM元件的摻雜資料儲存結構內的例示性摻雜濃度的一些實施例的曲線圖。
圖4A至圖4B示出具有摻雜資料儲存結構的RRAM元件的操作的一些實施例。
圖5示出包括具有摻雜資料儲存結構的RRAM元件的積體晶片的一些實施例的橫截面圖。
圖6示出包括具有摻雜資料儲存結構的RRAM元件的積體晶片的一些替代實施例的橫截面圖。
圖7至圖17示出形成包括具有摻雜資料儲存結構的RRAM元件的積體晶片的方法的橫截面視圖的一些實施例。
圖18示出形成包括具有摻雜資料儲存結構的RRAM元件的積體晶片的方法的一些實施例的流程圖。
圖19至圖20示出經組態以形成所揭露的RRAM元件的摻雜資料儲存結構的處理工具的一些實施例的方塊圖。
以下揭露內容提供用於實施所提供主題的不同特徵的許多不同實施例或實例。以下描述組件及配置的具體實例是為了簡化本揭露內容。當然,這些組件及配置僅僅為實例且並不意欲為限制性的。舉例而言,在以下描述中,在第二特徵上方或在第二特徵上形成第一特徵可包含第一特徵與第二特徵直接接觸地形成 的實施例,且亦可包含在第一特徵與第二特徵之間可形成額外特徵,使得第一特徵與第二特徵可不直接接觸的實施例。此外,本揭露內容可在各種實例中重複元件標號及/或字母。此重複是出於簡單及清楚之目的,且本身並不指示所論述的各種實施例及/或組態之間的關係。
另外,為易於描述,本文中可能使用諸如「在...之下(beneath)」、「在...下方(below)」、「下部(lower)」、「在...上方(above)」、「上部(upper)」等空間相對術語來描述如圖式中所示出的一個部件或特徵與另一(些)部件或特徵的關係。除圖式中所描繪的定向之外,空間相對術語亦意欲涵蓋元件在使用或操作中的不同定向。設備可以其他方式定向(旋轉90度或處於其他定向),且本文中所使用的空間相對描述詞同樣可相應地作出解釋。
電阻式隨機存取記憶體(RRAM)元件經組態以藉由在對應於第一資料狀態(例如,「0」)的高電阻狀態與對應於第二資料狀態(例如,「1」)的低電阻狀態之間切換來儲存資料。為啟用此「電阻切換」,RRAM元件包括藉由具有可變電阻的資料儲存結構與上部電極間隔開的下部電極。資料儲存結構通常包括高k介電材料(例如,氧化鉿),所述高k介電材料能夠回應於所施加的偏壓以改變其內部電阻。
舉例而言,資料儲存結構的電阻通常藉由資料儲存結構內包括多個氧空缺(oxygen vacancy)的導電絲的存在來判定。若存在導電絲,則資料儲存結構具有與低電阻狀態相關聯的相對較低的電阻。若不存在導電絲,則資料儲存結構具有與高電阻狀態相關聯的相對較高的電阻。為了在低電阻狀態與高電阻狀態之間 切換,所施加的偏壓可將氧空缺移入或移出資料儲存結構,以形成延伸穿過資料儲存結構的導電絲或斷開延伸穿過資料儲存結構的導電絲。
已瞭解,隨著時間推移(例如,經過大量讀取及/或編寫循環),流經資料儲存結構的電流可能會破壞資料儲存結構內的氧-氧鍵,從而增加在資料儲存結構內存在的氧空缺的數量。雖然靠近上部電極的氧空缺可在操作期間容易地移入及移出資料儲存結構,但是離上部電極較遠的氧空缺可能難以移除,因而導致資料儲存結構內的氧空缺過多。當RRAM元件處於低電阻狀態時,過量的氧空缺會導致導電絲(例如,沿著資料儲存結構的下半部分)變得較厚。較厚的燈絲使得RRAM元件自低電阻狀態切換至高電阻狀態更加困難,從而降低了RRAM元件的重置(reset)效率。隨著時間推移,此降低可減少讀取裕度(例如,自處於低電阻狀態及高電阻狀態下的RRAM元件輸出的訊號之間的差)並導致元件故障。
在一些實施例中,本揭露內容是關於一種具有摻雜資料儲存結構的RRAM元件,所述摻雜資料儲存結構經組態以減緩讀取裕度隨著時間推移的劣化(degradation)。摻雜資料儲存結構摻雜有與氧具有高鍵結能的一或多種摻質。一或多種摻質的高鍵結能(例如,大於約500千焦/莫耳)防止摻雜資料儲存結構內的鍵斷開,從而減少摻雜資料儲存結構內的氧空缺的數量。藉由減少摻雜資料儲存結構內的氧空缺的數量,導電絲的厚度的增加隨著大量讀取及/或編寫循環而減緩,從而維持良好重置效率以提供穩定的讀取裕度及良好的資料保持。
圖1A示出包括電阻式隨機存取記憶體(RRAM)元件的積體晶片100的一些實施例的橫截面圖,所述電阻式隨機存取記憶體元件具有經組態以為RRAM元件提供良好可靠性的摻雜資料儲存結構。
積體晶片100包括由安置於基底102上方的層間介電(inter-level dielectric;ILD)結構104包圍的RRAM元件101。RRAM元件101包括配置於下部電極108與上部電極116之間的摻雜資料儲存結構110。下部電極108藉由一或多個下部內連線層106(例如,金屬通孔及/或金屬導線)與基底102間隔開。上部電極116安置於摻雜資料儲存結構110與上部內連線層118(例如,金屬通孔及/或金屬導線)之間。
摻雜資料儲存結構110經組態以藉由在同第一資料狀態(例如,「0」)相關聯的高電阻狀態與同第二資料狀態(例如,「1」)相關聯的低電阻狀態之間經歷可逆切換來儲存資料狀態。舉例而言,為了在摻雜資料儲存結構110內達到低電阻狀態,可將第一組偏壓條件施加至下部電極108及上部電極116。第一組偏壓條件可將氧自摻雜資料儲存結構110驅至上部電極116,從而形成跨越摻雜資料儲存結構110的氧空缺114的導電絲112。可替代地,為了在摻雜資料儲存結構110內達到高電阻狀態,可將第二組偏壓條件施加至下部電極108及上部電極116。第二組偏壓條件可藉由將氧自上部電極116驅至摻雜資料儲存結構110來使導電絲112斷開。
摻雜資料儲存結構110摻雜有一或多種摻質,所述一或多種摻質經組態以與氧形成鍵結,所述鍵結的鍵能大於氧-氧鍵的 鍵能(例如,約498千焦/莫耳)。舉例而言,在一些實施例中,所述摻質可以大於約500千焦/莫耳的鍵能與氧鍵結。在其他實施例中,所述摻質可以大於約600千焦/莫耳的鍵能與氧鍵結。在各種實施例中,摻雜資料儲存結構110可包括氧化鉿、氧化鋯、氧化鋁、氧化鉭、氧化鉿鋁、氧化鉿鋯或類似者。在各種實施例中,所述摻質可包括氮、氟、碳、磷或類似者。在一些實施例中,所述摻質可產生摻雜資料儲存結構110,摻雜資料儲存結構110包括金屬氮氧化物(例如,氮氧化鉿、氮氧化鉭等)、金屬氟氧化物(例如,氟氧化鉿、氟氧化鋯等)、金屬碳氧化物(例如,碳氧化鉿、碳氧化鋁等)、金屬磷氧化物(例如,磷氧化鉿、磷氧化鋯等)或類似者。在一些此類實施例中,包括碳的摻質可形成具有約1076千焦/莫耳的鍵能的碳-氧鍵及/或包括氮的摻質可形成具有約630千焦/莫耳的鍵能的氮-氧鍵。所述摻質與氧分子之間的鍵能將氧分子緊密地鍵結至摻雜資料儲存結構110內的摻質,從而防止摻雜資料儲存結構110內的鍵斷開,且隨著時間推移減緩摻雜資料儲存結構110內的氧空缺的數量的增加。
在一些實施例中,所述摻質具有在摻雜資料儲存結構110的厚度T上變化的不均勻摻雜分佈(亦即,其沿著摻雜資料儲存結構110的高度為不均勻的)。舉例而言,在一些實施例中,不均勻摻雜分佈可具有峰值摻雜濃度,所述峰值摻雜濃度出現在與下部電極108及上部電極116間隔開非零距離的位置處。因為所述摻質具有比氧-氧鍵的鍵能大的鍵能,所以可使用摻質的不均勻摻雜分佈來控制存在於摻雜資料儲存結構110內的不同位置處的多個氧空缺。舉例而言,在一些實施例中,與沿著摻雜資料儲存結 構110的上半部分相比,不均勻摻雜分佈沿著所述摻雜資料儲存結構的下半部分可具有較高摻質濃度。在此類實施例中,摻雜分佈在上半部分內提供足夠的氧空缺以形成導電絲,同時限制沿著摻雜資料儲存結構110的下半部分的氧空缺的數量(及減緩導電絲112的寬度的增加)。控制存在於摻雜資料儲存結構110內的不同位置處的氧空缺的數量使得RRAM元件能夠維持低電阻狀態並且在高電阻狀態與低電阻狀態之間維持有良好讀取裕度。
圖1B至圖1C示出具有摻質的不同摻雜濃度的多個資料儲存層的摻雜資料儲存結構110的一些實施例的橫截面圖120及橫截面圖122,所述摻質以大於約500千焦/莫耳的鍵能與氧鍵結。雖然,圖1B至圖1C示出具有兩個及三個資料儲存層的摻雜資料儲存結構的實施例,應瞭解,所揭露的摻雜資料儲存結構(例如,圖1A的摻雜資料儲存結構110)不限於此類實施例。相反,所揭露的摻雜資料儲存結構可具有任何數目的資料儲存層。
如圖1B的橫截面圖120所繪示,在一些實施例中,多個資料儲存層110a至資料儲存層110b可包括具有第一摻雜濃度的第一資料儲存層110a及具有第二摻雜濃縮的第二資料儲存層110b。在一些實施例中,第一摻雜濃度可大於第二摻雜濃度。在一些實施例中,第一摻雜濃度可為非零摻雜濃度,而第二摻雜濃度實質上可為零。在一些實施例中,第一摻雜濃度與第二摻雜濃度在第一資料儲存層110a與第二資料儲存層110b之間的界面處可為分散的(例如,非連續的)。舉例而言,在一些實施例中,第一資料儲存層110a可具有在約7%與約8%之間的第一摻雜濃度,而第二資料儲存層110b可接觸第一資料儲存層110a且具有約2% 與約3%的第二摻雜濃度。在其他實施例中,第一摻雜濃度與第二摻雜濃度可在界面上方為連續的。
如圖1C的橫截面圖122所繪示,在一些實施例中,多個資料儲存層110a至資料儲存層110c可包括具有第一摻雜濃度的第一資料儲存層110a、具有第二摻雜濃度的第二資料儲存層110b以及具有第三摻雜濃度的第三資料儲存層110c。在一些實施例中,第一摻雜濃度可小於第二摻雜濃度,且第二摻雜濃度可大於第三摻雜濃度。在其他實施例中,第一摻雜濃度可大於第二摻雜濃度,且第二摻雜濃度可大於第三摻雜濃度。在一些實施例中,第一摻雜濃度與第二摻雜濃度可為非零摻雜濃度,而第三摻雜濃度實質上可為零。在一些實施例中,第一摻雜濃度、第二摻雜濃度以及第三摻雜濃度在相鄰資料儲存層之間的界面處可為分散的(例如,非連續的),而在其他實施例中,第一摻雜濃度、第二摻雜濃度以及第三摻雜濃度可在界面上方為連續的。
圖2示出在低電阻狀態下與高電阻狀態下的所揭露的RRAM元件(例如,圖1的RRAM元件101)的電阻值的一些實施例的曲線圖200。曲線圖200示出沿著y軸的RRAM元件的電阻及沿著x軸的多次讀取及/或編寫循環。
如曲線圖200中所繪示,高電阻狀態202的電阻比低電阻狀態204的電阻大。在讀取操作期間,施加至RRAM元件的訊號使具有取決於RRAM元件的電阻的值的訊號(例如,電流)得以輸出。舉例而言,與處於高電阻狀態(例如,指示第二資料狀態「0」)下的RRAM元件相比,處於低電阻狀態(例如,指示第一資料狀態「1」)下的RRAM元件將產生具有不同值的輸出訊號。 讀取裕度為自處於高電阻狀態下及低電阻狀態下的RRAM元件讀出的訊號(例如,電流)之間的差。在RRAM元件(例如,圖1的RRAM元件101)操作期間,需要維持相對較大的讀取裕度(例如,由高電阻狀態202及低電阻狀態204的電阻之間的差206所界定,所述差在3數量級至4數量級之間),此是由於較大讀取裕度在讀取操作期間更容易區分出不同資料狀態。
若摻雜資料儲存結構110內的摻質的濃度過低(例如,小於約1%),則高電阻狀態202及低電阻狀態204的電阻之間的差206將會隨著時間推移而縮小(由線208表示),且最終變得過小以致於無法提供足夠大的讀取裕度來準確地區分開不同資料狀態。然而,若摻雜資料儲存結構110內的摻質的濃度在約1%與約20%之間,則RRAM元件的高電阻狀態202及低電阻狀態204的電阻之間的差206隨著多次讀取及/或編寫循環的增加(例如,增加至超過10,000次循環)而實質上保持恆定(例如,在約103歐姆至105歐姆之間),以使RRAM元件能夠維持足夠大的讀取裕度來可靠地進行操作。
在各種實施例中,摻雜資料儲存結構內的摻質的摻雜分佈可具有不同分佈。圖3A至圖3B示出所揭露的RRAM元件內的摻雜資料儲存結構的一些例示性摻雜分佈的曲線圖300及曲線圖304。曲線圖300及曲線圖304示出沿著y軸的RRAM元件的摻雜資料儲存結構110內的位置,及沿著x軸的摻雜資料儲存結構110內的摻質的濃度。在各種實施例中,摻雜資料儲存結構110內的摻質的濃度可為已經被摻質離子置換的氧離子的百分比、摻質的重量百分比、摻質的莫耳(原子)百分比或類似者。
如圖3A的曲線圖300與圖3B的曲線圖304中所繪示,摻雜資料儲存結構110具有厚度T。在一些實施例中,厚度T可在約1奈米與約20奈米之間的範圍內。在一些實施例中,厚度T可在約2奈米與約20奈米之間的範圍內。在又一些實施例中,厚度T可在約5奈米與約10奈米之間的範圍內。
在一些實施例中,繪示於圖3A的曲線圖300中,摻雜分佈302具有在厚度T上持續延伸的非零摻雜濃度。在此類實施例中,摻雜分佈302具有在摻雜資料儲存結構110的頂部表面與底部表面之間持續延伸的非零摻雜濃度。非零摻雜濃度由將摻質(例如,氮、氟、碳、磷或類似者)引入至金屬氧化物(例如,氧化鉿、氧化鉭、氧化鋯、氧化鋁、氧化鉿鋁、氧化鉿鋯或類似者)中以形成具有金屬氮氧化物、金屬氟氧化物、金屬磷氧化物或類似者的摻雜資料儲存結構110而產生。
在一些此類實施例中,摻雜資料儲存結構110可包括相同材料,所述相同材料具有在摻雜資料儲存結構110的頂部表面與底部表面之間持續延伸的梯度摻雜濃度。舉例而言,在一些實施例中,摻雜資料儲存結構110可包括氮氧化鉿、氮氧化鉭、氟氧化鉿、碳氧化鉿、磷氧化鉿或類似者。在其他實施例中(未繪示),摻雜資料儲存結構110可包括不同材料,所述不同材料彼此堆疊以在摻雜資料儲存結構110的頂部表面與底部表面之間延伸。所述不同材料共同具有在摻雜資料儲存結構110的頂部表面與底部表面之間持續延伸的梯度摻雜濃度。舉例而言,摻雜資料儲存結構可包括作為具有第一摻雜濃度的第一材料(例如,氮氧化鉿)的第一摻雜資料儲存層以及作為具有第二摻雜濃度的第二 材料(例如,氮氧化鉭)的上覆第二摻雜資料儲存層。
在其他實施例中,繪示於圖3B中的曲線圖304,摻雜分佈306具有在小於厚度T的距離上延伸的非零摻雜濃度。舉例而言,摻雜資料儲存結構110可具有非零摻雜濃度,所述非零摻雜濃度以實質上為零的摻雜濃度與摻雜資料儲存結構110的頂部間隔開一非零距離d 1 。在一些此類實施例中,摻雜資料儲存結構110可包括具有不同材料的層。舉例而言,摻雜資料儲存結構110可包括第一資料儲存層110a,所述第一資料儲存層包括第一材料(例如,氮氧化鉿、氮氧化鉭、氮氧化鋯、氮氧化鋁、氮氧化鉿鋁、氮氧化鉿鋯或類似者);及第二資料儲存層110b,所述第二資料儲存層包括第二材料(例如,氧化鉿、氧化鉭、氧化鋯、氧化鋁、氧化鉿鋁、氧化鉿鋯或類似者)。
如圖3A的曲線圖300及圖3B的曲線圖304中所繪示,摻雜資料儲存結構110內的摻質的摻雜分佈302在與下部電極108垂直地間隔開第二非零距離d 2 的位置P 1 處具有峰值摻質濃度C P 。在一些實施例中,第二非零距離d 2 在厚度T的約5%與約40%之間的範圍內。舉例而言,在一些實施例中,位置P 1 在摻雜資料儲存結構110的底部上方約1埃與80埃之間。雖然可容易地將靠近上部電極116的氧空缺移出摻雜資料儲存結構110,但離上部電極116更遠的氧空缺可能更加難以移除,從而在資料儲存結構內產生過量氧空缺。在厚度T的約5%與約40%之間的位置處具有峰值摻雜濃度防止了氧空缺在難以移除的距離處(例如,移動至上部電極116)堆積,從而防止摻雜資料儲存結構110內的氧空缺的堆積。在一些實施例中,摻雜資料儲存結構110具有沿著摻雜資 料儲存結構110的厚度不對稱的摻雜分佈。
在一些實施例中,摻雜分佈302具有在約1%與約20%之間的範圍內的峰值摻雜濃度C P (例如,摻質的莫耳百分比)。在一些額外實施例中,摻雜濃度具有在約1%與約10%之間的範圍內的峰值摻雜濃度C P 。具有大於約20%的摻雜濃度可減少在摻雜資料儲存結構110中存在的氧空缺的數量,從而使得難以在摻雜資料儲存結構110內形成導電絲。可替代地,具有小於約1%的摻雜濃度可能無法充分地減緩摻雜資料儲存結構110內的過量氧空缺的增加,因而未能減緩RRAM元件的讀取裕度的劣化。
圖4A至圖4B示出在操作的各個階段期間的RRAM元件的一些實施例的橫截面圖400及橫截面圖408。
如圖4A的橫截面圖400中所繪示,RRAM元件101包括安置於下部電極108與上部電極116之間的摻雜資料儲存結構110。在低電阻狀態(例如,儲存第一資料狀態「1」)下,導電絲402延伸穿過RRAM元件101的摻雜資料儲存結構110一距離d L ,距離d L 約等於摻雜資料儲存結構110的厚度T。導電絲402包括共同界定導電絲402的多個氧空缺404。如曲線圖406中所繪示,導電絲402垂直地延伸穿過摻雜資料儲存結構110的峰值摻雜濃度C P 的位置P 1 。在一些實施例中,導電絲402的寬度在上部電極116與下部電極108之間減小。
圖4B的橫截面圖408示出在高電阻狀態下的RRAM元件101。在高電阻狀態(例如,儲存第二資料狀態「0」)下,部分導電絲410在摻雜資料儲存結構110內延伸一距離d II (d II <d L
Figure 109108748-A0305-02-0015-1
T)。如曲線圖412中所繪示,部分導電絲410延伸穿過摻雜資料儲存 結構110,直至垂直地位於上部電極116與峰值摻雜濃度C P 的位置P 1 之間的位置。換言之,部分導電絲410並未垂直地延伸穿過摻雜資料儲存結構110的峰值摻雜濃度C P 的位置P 1 。此是因為峰值摻雜濃度C P 產生具有相對較高的鍵能(例如,比氧-氧鍵的鍵能大的鍵能)的大量氧-摻質鍵。相對較高的鍵能減少了在摻雜資料儲存結構110的下半部分內的過量氧空缺的數量。
圖5示出具有RRAM元件的積體晶片500的一些額外實施例,所述積體晶片具有摻雜資料儲存結構。
積體晶片500包括安置於層間介電(ILD)結構104內的RRAM元件501,層間介電質(ILD)結構104配置於基底102上方。在一些實施例中,ILD結構104包括多個堆疊層間介電(ILD)層504a、504b、504c、504d、504e。多個堆疊ILD層504a至堆疊ILD層504e包括一或多個下部ILD層504a至下部ILD層504d橫向地包圍一或多個下部內連線層503,一或多個下部內連線層503包括導電接觸窗506、內連線導線508以及內連線通孔510。在一些實施例中,多個堆疊ILD層504a至堆疊ILD層504e可包括二氧化矽、SiCOH、氟矽酸鹽玻璃、磷酸鹽玻璃(例如,硼磷矽玻璃)或類似者中的一或多者。舉例而言,在一些實施例中,一或多個下部內連線層503可包括導電金屬,例如,銅、鋁及/或鎢。在一些實施例中,多個堆疊ILD層504a至ILD層504e中的兩個或大於兩個相鄰的堆疊ILD層可藉由包括氮化物、碳化物或類似者的蝕刻停止層(未繪示)間隔開。
一或多個下部內連線層503經組態以將RRAM元件501耦接至配置於基底102內的存取元件502。在一些實施例中,存取 元件502可包括具有橫向地配置於源極區502a與汲極區502b之間的閘極結構502c的MOSFET元件。在一些實施例中,閘極結構502c可包括藉由閘極介電質與基底102間隔開的閘極電極。在一些此類實施例中,源極區502a耦接至源極線SL,且閘極結構502c耦接至字元線WL。在其他實施例中,存取元件502可包括HEMT、BJT、JFET或類似者。
下部絕緣層512位於一或多個下部ILD層504a至ILD層504d上方且包括界定延伸穿過下部絕緣層512的開口的側壁。在各種實施例中,下部絕緣層512可包括氮化矽、二氧化矽、碳化矽或類似者。
RRAM元件501配置於下部絕緣層512的側壁之間且位於下部絕緣層512上方。在一些實施例中,RRAM元件501包括經由摻雜資料儲存結構110與上部電極116間隔開的下部電極108。在一些實施例中,下部電極108及上部電極116可包括金屬,諸如鉭、鈦、氮化鉭、氮化鈦、鉑、鎳、鉿、鋯、釕、銥或類似者。在一些實施例中,下部電極108可包括擴散障壁層(例如,氮化鉭)及上覆金屬(例如,鈦)。
在一些實施例中,摻雜資料儲存結構110可包括具有非零摻雜濃度的第一資料儲存層110a及具有實質上為零的摻雜濃度的第二資料儲存層110b。在一些實施例中,第一資料儲存層110a可具有被第二資料儲存層110b完全覆蓋的上部表面。在一些額外實施例中,第二資料儲存層110b在上部電極116的橫向外側的厚度小於在上部電極116的正下方的厚度。
在一些實施例中,頂蓋層514配置於摻雜資料儲存結構 110與上部電極116之間。頂蓋層514經組態以儲存氧,此可促進摻雜資料儲存結構110內的電阻變化。在一些實施例中,頂蓋層514可包括金屬,諸如鈦、鉭、鉿、鉑、鋁或類似者。在其他實施例中,頂蓋層514可包括金屬氮化物,諸如氮化鈦、氮化鉭或類似者。在又一些實施例中,頂蓋層514可包括金屬氧化物,諸如氧化鈦、氧化鉿、氧化鋯、氧化鍺、氧化銫或類似者。在一些替代實施例中,頂蓋層514可以配置於下部電極108與摻雜資料儲存結構110之間。在此類實施例中,摻雜資料儲存結構110可具有峰值摻雜濃度,所述峰值摻雜濃度與摻雜資料儲存結構110的底部相比更靠近摻雜資料儲存結構110的頂部。
在一些實施例中,罩幕層516可安置於上部電極116上方。在一些實施例中,罩幕層516可包括氮氧化矽、二氧化矽、電漿增強氮化矽或類似者。在一些實施例中,側壁間隙壁518可沿著頂蓋層514及上部電極116的側壁安置。在一些實施例中,側壁間隙壁518可包括氧化物(例如富矽氧化物)、氮化物(例如氮化矽)、碳化物(例如碳化矽)或類似者。在一些實施例中,側壁間隙壁518可為與罩幕層516相同的材料,使得相同材料沿著上部電極116的側壁及上部表面延伸。側壁間隙壁518與罩幕層516配置於RRAM元件501與多個堆疊ILD層504a至ILD層504e中的上部ILD層504e之間。
在一些實施例中,上部內連線結構519耦接至上部電極116。在一些實施例中,上部內連線結構519可包括頂部電極通孔520與位於頂部電極通孔520上方的上部內連線導線522。頂部電極通孔520延伸穿過罩幕層516以接觸上部電極116。在一些實施 例中,頂部電極通孔520及/或上部內連線導線522可包括鋁、銅、鎢或類似者。在一些實施例中,上部內連線導線522進一步耦接至位元線BL
圖6示出具有RRAM元件的積體晶片600的一些替代實施例,所述RRAM元件具有摻雜資料儲存結構。
積體晶片600包括具有藉由摻雜資料儲存結構110及頂蓋層514與上部電極116間隔開的下部電極108的RRAM元件601下部電極108、摻雜資料儲存結構110、頂蓋層514以及上部電極116分別具有被外區604橫向包圍的內區602。內區602內的層分別具有凹入式上部表面,所述凹入式上部表面橫向配置於外區604內的對應層的上部表面之間且垂直地配置於外區604內的對應層的上部表面下方。舉例而言,摻雜資料儲存結構110具有在內區602內的上部表面,所述上部表面橫向地位於外區604內的摻雜資料儲存結構110的上部表面之間且垂直地位於外區604內的摻雜資料儲存結構110的上部表面下方。在一些實施例中,當從RRAM元件601俯視圖觀察時,外區604可以環繞內區602以不間斷的環形式持續延伸。
在一些實施例中,下部電極108、摻雜資料儲存結構110、頂蓋層514以及上部電極116可分別在最外側壁之間具有實質上相等的厚度。在一些替代實施例中,摻雜資料儲存結構110的內區602可具有第一厚度,且摻雜資料儲存結構110的外區604可具有小於第一厚度的第二厚度。
圖7至圖17示出形成包括具有摻雜資料儲存結構的RRAM元件的積體晶片的方法的橫截面圖700至橫截面圖1700的 一些實施例。儘管參考方法描述了圖7至圖17,但應瞭解,圖7至圖17中所揭露的結構不限於此類方法,而反而可單獨作為獨立於所述方法的結構。
如圖7的橫截面圖700所繪示,於基底102內形成存取元件502。在各種實施例中,基底102可為任何類型的半導體主體(例如,矽、SiGe、SOI等)(諸如半導體晶圓及/或在晶圓上的一或多個晶粒)以及與所述半導體主體相關聯的任何其他類型的半導體及/或磊晶層。在一些實施例中,存取元件502可包括藉由在基底102上方沈積閘極介電膜及閘極電極膜而形成的電晶體。隨後圖案化閘極介電膜與閘極電極膜以形成具有閘極介電質502d及閘極電極502e的閘極結構502c。隨後可佈植基底102以在閘極結構502c的相對側上的基底102內形成源極區502a及汲極區502b。
如圖8的橫截面圖800中所繪示,於基底102上方的一或多個下部層間介電(ILD)層504a至下部層間介電層504b內形成一或多個下部內連線層503。在一些實施例中,一或多個下部內連線層503可包括導電接觸窗506與內連線導線508。一或多個下部內連線層503可藉由如下步驟形成:在基底102上方形成一或多個下部ILD層504a至下部ILD層504b中的一者;選擇性地蝕刻ILD層(例如,氧化物、低介電常數(low-k)介電質或超低介電常數介電質)以界定ILD層內的介層窗孔及/或溝渠;在介層窗孔及/或溝渠內形成導電材料(例如銅、鋁等)以填充開口;以及執行平坦化製程(例如化學機械平坦化製程)。
如圖9的橫截面圖900中所繪示,於一或多個下部內連線層503及一或多個下部ILD層504a至下部ILD層504b上形成 下部絕緣層902。在一些實施例中,下部絕緣層902可包括氮化矽、碳化矽或類似複合介電膜。在一些實施例中,下部絕緣層902可藉由沈積技術(例如,物理氣相沈積(physical vapor deposition;PVD)、化學氣相沈積(chemical vapor deposition;CVD)、PE-CVD、原子層沈積(atomic layer deposition;ALD)等)來形成為約200埃與約300埃之間的範圍內的厚度。
如圖10的橫截面圖1000中所繪示,於下部絕緣層(圖9的下部絕緣層902)上方形成第一罩幕層1002。隨後在未被第一罩幕層1002覆蓋的區域中將下部絕緣層(圖9的下部絕緣層902)選擇性地暴露於第一蝕刻劑1004(例如,乾式蝕刻劑)。第一蝕刻劑1004界定下部絕緣層512中的開口1006,開口1006延伸穿過下部絕緣層512直至一或多個下部內連線層503。
如圖11的橫截面圖1100中所繪示,於一或多個下部內連線層503及下部絕緣層512上方形成下部電極結構1102。在一些實施例中,下部電極結構1102藉由沈積一或多個下部電極膜1104至下部電極膜1106而形成。舉例而言,下部電極結構1102可藉由沈積第一下部電極膜1104且隨後在第一下部電極膜1104上方沈積第二下部電極膜1106而形成。第一下部電極膜1104自開口1006內延伸至上覆下部絕緣層512的位置。在一些實施例中,第一下部電極膜1104可包括氮化鉭、氮化鈦或類似者。隨後可執行平坦化製程(例如,化學機械平坦化製程)。在一些實施例中,平坦化製程使得下部絕緣層512上方的第一下部電極膜1104具有約100埃與約300埃之間的範圍內的厚度。第二下部電極膜1106形成於第一下部電極膜1104上方。在一些實施例中,第二下 部電極膜1106可包括鉭、鈦或類似者。在一些實施例中,第二下部電極膜1106可經形成為約100埃與約200埃之間的範圍內的厚度。
圖12A至圖12D示出繪示形成摻雜資料儲存部件1220的一些實施例的橫截面圖。圖12A至圖12D經由沈積製程,隨後進行單獨摻雜製程來形成摻雜資料儲存部件1220。儘管圖12A至圖12D示出形成包括兩個資料儲存層的摻雜資料儲存部件1220,但應瞭解,可在摻雜資料儲存部件1220內形成大量的資料儲存層(例如,10個、100個、1000個等)。舉例而言,在一些實施例中,可重複圖12A至圖12B的動作以形成多個摻雜資料儲存層(例如,具有第一摻雜濃度的第一摻雜資料儲存層及具有大於第一摻雜濃度的第二摻雜濃度的第二摻雜資料儲存層),隨後重複圖12C及/或圖12D的動作以形成一或多個未摻雜資料儲存層及/或一或多個摻雜資料儲存層(例如,具有小於第二摻雜濃度的第三摻雜濃度)。
如圖12A的橫截面圖1200所繪示,於下部電極結構1102上方形成第一未摻雜資料儲存層1202。在一些實施例中,第一未摻雜資料儲存層1202可藉由第一ALD循環而形成,所述第一ALD循環依次交替氣態化學前驅體的脈衝。舉例而言,在第一ALD循環期間,將前驅體(例如,四(乙基甲基胺基)鉿(tetrakis(ethylmethylamido)hafnium;TEMAHf)、二氧化鉿、四氯化鉿、四氯化鋯、鉭類前驅體或類似者)提供至處於真空下的處理腔室。隨後,用惰性載氣(例如氮氣、氬氣或類似者)吹掃處理腔室以移除任何不反應的前驅體或反應副產物。隨後將逆反應物前驅體(counter-reactant precursor)(例如氧氣、水或類似者)引入至處理 腔室中以形成第一未摻雜資料儲存層1202。隨後,用惰性載氣(例如氮氣、氬氣或類似者)吹掃處理腔室。
如圖12B的橫截面圖1204所繪示,藉由使第一未摻雜資料儲存層暴露於摻質1206來摻雜第一未摻雜資料儲存層(例如圖12A的第一未摻雜資料儲存層1202),以形成具有第一摻質濃度的第一摻雜資料儲存層1208。在一些實施例中,第一未摻雜資料儲存層1202可經由電漿處理進行摻雜。舉例而言,可使第一未摻雜資料儲存層1202暴露於含有氮的電漿(例如,N2電漿)。在其他實施例中,可藉由擴散摻雜製程來摻雜第一未摻雜資料儲存層1202。舉例而言,可使第一未摻雜資料儲存層1202在高溫(例如大於100℃)下暴露於氮氣。在又一些實施例中,可藉由替代方法(諸如佈植製程或類似者)來摻雜第一未摻雜資料儲存層1202。
在一些實施例中,第一未摻雜資料儲存層1202可在原位形成並進行摻雜(例如,在不破壞第一未摻雜資料儲存層1202的形成與摻雜之間的真空的情況下)。在一些此類實施例中,第一未摻雜資料儲存層1202可在相同處理腔室內形成及進行摻雜。在其他此等實施例中,第一未摻雜資料儲存層1202可在第一處理腔室內形成且在第二處理腔室內進行摻雜。在其他實施例中,第一未摻雜資料儲存層1202可在非原位形成並進行摻雜(例如,在破壞第一未摻雜資料儲存層1202的形成與摻雜之間的真空的情況下)。
如圖12C的橫截面圖1210所繪示,於第一摻雜資料儲存層1208上方形成第二未摻雜資料儲存層1212。第二未摻雜資料儲存層1212可藉由第二ALD循環而形成,所述第二ALD循環依次交替氣態化學前驅體的脈衝。在一些實施例中,可藉由與(關於 圖12A中所描述的)第一ALD循環相同的製程來執行第二ALD循環。在一些實施例中,第二未摻雜資料儲存層1212可與第一摻雜資料儲存層1208在原位形成。
如圖12D的橫截面圖1214中所繪示,在一些實施例中,藉由使第二未摻雜資料儲存層暴露於摻質1216來摻雜第二未摻雜資料儲存層(圖12C的第二未摻雜資料儲存層1212)以形成具有非零摻雜濃度的第二資料儲存層1218且界定出摻雜資料儲存部件1220。在各種實施例中,可藉由電漿處理、熱退火製程、佈植製程或類似製程來摻雜第二未摻雜資料儲存層1212。在一些實施例中,第二未摻雜資料儲存層1212被摻雜為不同於(例如,大於或小於)第一摻雜濃度的第二摻質濃度。在一些實施例中,第一摻雜濃度及第二摻雜濃度在第一摻雜資料儲存層1208與第二資料儲存層1218之間的界面處可為分散的(例如非連續的),而在其他實施例中,第一摻雜濃度與第二摻雜濃度可在界面上方為連續的。在一些實施例中,第二未摻雜資料儲存層1212可在原位形成並進行摻雜。在一些實施例中,由於第二未摻雜資料儲存層的摻雜,因此第一摻雜資料儲存層1208沿著上部表面的摻質濃度可大於其沿著下部表面的摻質濃度。
在其他實施例中,可跳過第二未摻雜資料儲存層的摻雜(圖12C的第二未摻雜資料儲存層1212)(例如,使得頂蓋膜直接形成於圖12C的第二未摻雜資料儲存層1212上)。在此類實施例中,摻雜資料儲存部件1220可具有摻雜分佈,所述摻雜分佈具有自摻雜資料儲存部件1220的頂部持續延伸至第一摻雜資料儲存層1208的頂部表面的實質上為零的摻雜濃度。
圖13A至圖13D示出形成摻雜資料儲存部件1220的一些替代實施例的橫截面圖。圖13A至圖13D經由包含摻質的原子層沈積(ALD)製程來形成摻雜資料儲存部件1220。儘管圖13A至圖13D示出形成兩個資料儲存層,但應瞭解,可在摻雜資料儲存部件1220內形成大量的資料儲存層(例如,10個、100個、1000個等)。此外,儘管圖13A至圖13D描述使用ALD製程來形成摻雜資料儲存部件1220,但在其他實施例中,可使用替代沈積製程(例如CVD、PVD等)來形成摻雜資料儲存部件1220。
如圖13A的橫截面圖1300所繪示,於下部電極結構1102上方形成第一單層1302。在一些實施例中,可藉由將前驅體氣體1301引入至處於真空下的處理腔室中來形成第一單層1302。在一些實施例中,前驅體氣體1301可包括四(乙基甲基胺基)鉿(TEMAHf)、二氧化鉿、四氯化鉿、四氯化鋯、鉭類前驅體或類似者。隨後,用惰性載氣(例如,氮氣、氬氣或類似者)吹掃處理腔室以移除前驅體氣體1301的任何副產物。
如圖13B的橫截面圖1304所繪示,於第一單層1302上方形成第二單層1306,以形成第一摻雜資料儲存層1208。在一些實施例中,可藉由將含有摻質的逆反應物前驅體氣體1305引入至處理腔室中來形成第二單層1306。在一些實施例中,含有摻質的逆反應物前驅體氣體1305可包括銨(NH4)、溶解於水中的氮化銨、氫氧化銨或類似者。含有摻質的逆反應物前驅體氣體1305的分子與第一單層1302相互作用以形成具有第一摻質濃度的第一摻雜資料儲存層1208。
如圖13C的橫截面圖1308所繪示,於第一摻雜資料儲存 層1208上方形成第三單層1310。可藉由將前驅體氣體1301引入至處於真空下的處理腔室中來形成第三單層1310。在一些實施例中,前驅體氣體1301可包括四(乙基甲基胺基)鉿(TEMAHf)、二氧化鉿、四氯化鉿、鉭類前驅體或類似者。隨後,用惰性載氣(例如氮氣、氬氣或類似者)吹掃處理腔室以移除任何不反應的前驅體或反應副產物。
如圖13D的橫截面圖1312所繪示,在一些實施例中,於第三單層1310上方形成第四單層1314。第四單層1314的分子與第三單層1310相互作用,以形成第二資料儲存層1218且界定出摻雜資料儲存部件1220。在一些實施例中,可藉由將含有摻質的逆反應物前驅體氣體1313引入至處理腔室中來形成第四單層1314,使得第二資料儲存層1218具有非零摻雜濃度。在一些此類實施例中,含有摻質的逆反應物前驅體氣體1305可包括銨(NH4)、溶解於水中的氮化銨、氫氧化銨或類似者。在其他實施例中,可藉由將不含摻質的逆反應物前驅體氣體引入至處理腔室中來形成第四單層1314,使得第二資料儲存層1218具有實質上為零的摻雜濃度。在一些此類實施例中,逆反應物前驅體氣體可包括氧氣、水或類似者。
如圖14的橫截面圖1400中所繪示,在一些實施例中,頂蓋膜1402可形成於摻雜資料儲存部件1220上方。在各種實施例中,頂蓋膜1402可包括鈦、鉭、氮化鈦、氮化鉭、鉿、鋁或類似材料。在一些替代實施例中,可在形成摻雜資料儲存部件1220之前形成頂蓋膜1402,使得頂蓋膜1402位於下部電極結構1102與摻雜資料儲存部件1220之間。在一些實施例中,頂蓋膜1402 可經由沈積技術(例如,PVD、CVD、PE-CVD、濺鍍、ALD等)形成。
上部電極結構1404隨後形成於頂蓋膜1402上方。在一些實施例中,上部電極結構1404可包括金屬,諸如鈦、鉭或類似者。在一些實施例中,可經由沈積技術(例如,PVD、CVD、PE-CVD、濺鍍、ALD等)形成上部電極結構1404。
如圖15A至圖15E所繪示,執行一或多個圖案化製程以界定RRAM元件501。
如圖15A的橫截面圖1500所繪示,於上部電極結構1404上方形成罩幕層516。在一些實施例中,罩幕層516可包括氮化物、碳化物或類似者。在一些實施例中,可藉由沈積製程(例如,CVD、PVD、PE-CVD、ALD或類似者)及後續的微影圖案化製程來形成罩幕層516。
如圖15B的橫截面圖1502中所繪示,使上部電極結構(圖15A的上部電極結構1404)與頂蓋膜(圖15A的頂蓋膜1402)暴露於一或多種蝕刻劑1504。一或多種蝕刻劑1504經組態以藉由選擇性地移除上部電極結構(圖15A的上部電極結構1404)的未掩蔽部分來界定上部電極116,且經組態以藉由選擇性地移除頂蓋膜(圖15A的頂蓋膜1402)的未掩蔽部分來進一步界定頂蓋層514。在一些實施例中,一或多種蝕刻劑1504亦可移除摻雜資料儲存部件(圖14的摻雜資料儲存部件1220)及/或下部電極結構(圖14的下部電極結構1102)的未掩蔽部分。在各種實施例中,一或多種蝕刻劑1504可包括:乾式蝕刻劑,所述乾式蝕刻劑具有包括氯及/或氟物種(例如,CF4、CHF3、C4F8等)的蝕刻化學物質;及/ 或濕式蝕刻劑,所述濕式蝕刻劑包括氫氟酸(Hydroflouric acid;HF)、KOH或類似者。
如圖15C的橫截面圖1506所繪示,於基底102上方形成間隙壁層1508。在一些實施例中,間隙壁層1508覆蓋資料儲存部件1220與罩幕層516的上部表面。在一些此類實施例中,間隙壁層1508可進一步覆蓋頂蓋層514、上部電極116以及罩幕層516的側壁。在一些實施例中,間隙壁層1508可包括氧化物、氮化物、碳化物或類似者。在一些實施例中,間隙壁層1508可包括與罩幕層516相同的材料。在一些實施例中,間隙壁層1508可經由沈積製程(例如CVD、PVD、PE-CVD等)形成。
如圖15D的橫截面圖1510中所繪示,使間隙壁層(圖15C的間隙壁層1508)暴露於一或多種蝕刻劑1512。一或多種蝕刻劑1512自水平表面移除間隙壁層(圖15C的間隙壁層1508),從而留下沿著上部電極116的相對側的間隙壁層(圖15C的間隙壁層1508)作為側壁間隙壁518。在各種實施例中,一或多種蝕刻劑1512可包括乾式蝕刻劑及/或濕式蝕刻劑。
如圖15E的橫截面圖1514所繪示,選擇性地使資料儲存結構(圖15D的資料儲存結構1220)及/或下部電極結構(15D的下部電極結構1102)暴露於一或多種蝕刻劑1516。一或多種蝕刻劑經組態以界定RRAM元件501的資料儲存結構110及/或下部電極108。在一些實施例中,根據包括側壁間隙壁518及罩幕層516的罩幕來選擇性地使資料儲存結構(圖15D的資料儲存結構1220)及/或下部電極結構(圖15D的下部電極結構1102)圖案化。在各種實施例中,一或多種蝕刻劑1516可包括乾式蝕刻劑及/或濕式蝕 刻劑。
如圖16的橫截面圖1600所繪示,可於RRAM元件501及下部絕緣層512上方形成包封層1602。隨後在包封層1602上方形成上部層間介電(ILD)層1604。包封層1602具有鄰接下部電極108、摻雜資料儲存結構110以及側壁間隙壁518的第一側及鄰接上部ILD層1604的第二側。在一些實施例中,包封層1602可包括氮化物、碳化物、氧化物或類似者。
如圖17的橫截面圖1700所繪示,於上部ILD層1604內形成上部內連線結構519。上部內連線結構519延伸穿過上部ILD層1604直至鄰接上部電極116的位置。在一些實施例中,上部內連線結構519包括頂部電極通孔520以及上部內連線導線522。在一些實施例中,可藉由蝕刻上部ILD層1604來形成上部內連線結構519以形成延伸穿過包封層1602、罩幕層516直至上部電極116的開口。隨後用金屬(例如銅及/或鋁)填充開口以形成頂部電極通孔520及上部內連線導線522。
圖18示出形成包括具有摻雜資料儲存結構的RRAM元件的積體晶片的方法1800的一些實施例的流程圖。
雖然在下文中將方法1800示出且描述為一系列動作或事件,但應瞭解,不應以限制性意義來解釋此類動作或事件的所示出次序。舉例而言,除本文中所示出及/或所描述的動作或事件之外,一些動作可與其他動作或事件以不同次序及/或同時出現。此外,可能並不需要所有的所示出動作以實施本文中的描述的一或多個態樣或實施例。另外,本文中所描繪的動作中的一或多者可以一或多個單獨動作及/或階段進行。
在動作1802處,在基底內形成存取元件。圖7示出對應於動作1802的橫截面圖700的一些實施例。
在動作1804處,在一或多個下部層間介電(ILD)層內形成一或多個下部內連線層503。圖8示出對應於動作1804的橫截面圖800的一些實施例。
在動作1806處,在一或多個下部內連線層及一或多個下部ILD層上方形成下部絕緣結構。圖9至圖10示出對應於動作1806的橫截面圖900至橫截面圖1000的一些實施例。
在動作1808處,在一或多個下部內連線層及下部絕緣結構上方形成下部電極結構。圖11示出對應於動作1808的橫截面圖1100的一些實施例。
在動作1810處,在下部電極結構上方形成摻雜資料儲存部件。摻雜資料儲存部件可藉由多步驟製程來形成包括分別具有摻質的不同濃度的多個資料儲存層,從而使得摻雜資料儲存部件具有隨著與下部電極結構相隔的距離改變而變化的摻質濃度。圖12A至12D示出對應於動作1810的橫截面圖的一些實施例。圖13A至圖13D示出對應於動作1810的橫截面圖的一些替代實施例。
在一些實施例中,在動作1812處,在摻雜資料儲存部件上方形成頂蓋膜。圖14示出對應於動作1812的橫截面圖1400的一些實施例。
在動作1814處,在頂蓋膜上方形成上部電極結構。圖14示出對應於動作1814的橫截面圖1400的一些實施例。
在動作1816處,執行一或多個圖案化製程以界定RRAM 元件。圖15示出對應於動作1816的橫截面圖1500的一些實施例。
在動作1818處,在RRAM元件上方形成上部ILD層。圖16示出對應於動作1818的橫截面圖1600的一些實施例。
在動作1820處,於RRAM元件上形成上部內連線結構。圖17示出對應於動作1820的橫截面圖1700的一些實施例。
圖19示出經組態以形成所揭露的RRAM元件的摻雜資料儲存結構的處理工具1900的一些實施例的方塊圖。
處理工具1900包括耦接至第一處理腔室1906、第二處理腔室1914以及裝載埠1920的轉移腔室1902。在一些實施例中,轉移腔室1902可經由第一門1912a耦接至第一處理腔室1906,經由第二門1912b耦接至第二處理腔室1914且經由第三門1912c耦接至裝載埠1920。轉移腔室1902包括晶圓轉移機器1904。在一些實施例中,晶圓轉移機器1904可包括耦接至經組態以固持晶圓的晶圓刀具(wafer blade)1904b的機器手臂1904a。
第一晶圓吸盤1908配置於第一處理腔室1906內。在一些實施例中,第一晶圓吸盤1908可包括真空吸盤。ALD氣體源1910耦接至第一處理腔室1906。ALD氣體源1910經組態以將前驅體氣體及逆反應物前驅體提供至第一處理腔室1906。在一些實施例中,ALD氣體源1910可包括經由第一閥門1910b選擇性地耦接至第一處理腔室1906的第一氣體源1910a及經由第二閥門1910d選擇性地耦接至第一處理腔室1906的第二氣體源1910c。在一些實施例中,第一氣體源1910a可包括第一溫度控制浴,且第二氣體源1910c可包括第二溫度控制浴。在一些實施例中,ALD氣體源1910可更包括經組態以提高ALD製程沈積的速度的電漿 源(未繪示)。
第二晶圓吸盤1916配置於第二處理腔室1914內。摻質源1918耦接至第二處理腔室1914且經組態以將摻質提供至第二晶圓吸盤1916上的基底。在一些實施例中,摻質源1918可包括離子佈植工具、氣相沈積工具、電漿生成器或類似者。
裝載埠1920經組態以接收固持一或多個基底的載體(carrier)1922。在各種實施例中,載體1922可包括FOUP(前開式統一晶圓盒(front opening unified pod;FOUP))、晶圓盒或類似者。在一些實施例中,一或多個基底可包括半導體晶圓(例如,200毫米的晶圓、300毫米的晶圓、450毫米的晶圓等)。
一或多個真空泵1924耦接至轉移腔室1902、第一處理腔室1906及/或第二處理腔室1914。在一些實施例中,一或多個真空泵1924經組態以在轉移腔室1902、第一處理腔室1906及/或第二處理腔室1914內維持真空。
在操作期間,晶圓轉移機器1904經組態以將基底自載體1922提供至第一處理腔室1906(沿著線1926),其中第一未摻雜資料儲存層(例如,圖12A的第一未摻雜資料儲存層1202)形成於基底上方。晶圓轉移機器1904隨後經組態以將基底自第一處理腔室1906轉移至第二處理腔室1914(沿著線1928),其中第一未摻雜資料儲存層被摻雜以形成第一摻雜資料儲存層(例如,圖12B的第一摻雜資料儲存層1208)。晶圓轉移機器1904隨後可在第一處理腔室1906與第二處理腔室1914之間轉移基底以形成界定摻雜資料儲存部件(例如,圖12D的摻雜資料儲存部件1220)的額外摻雜資料儲存層(例如,圖12D的第二資料儲存層1218)。當 完成摻雜資料儲存部件的形成,晶圓轉移機器1904將基底轉移至轉移腔室1902內的載體1922(沿著線1930)。
圖20示出經組態以形成所揭露的RRAM元件的摻雜資料儲存結構的處理工具2000的一些替代實施例的方塊圖。
處理工具2000包括耦接至第一處理腔室1906及裝載埠1920的轉移腔室1902。在一些實施例中,轉移腔室1902可經由第一門1912a耦接至第一處理腔室1906且經由第二門1912b耦接至裝載埠1920。轉移腔室1902包括晶圓轉移機器1904。
第一晶圓吸盤1908配置於第一處理腔室1906內。ALD氣體源1910耦接至第一處理腔室1906。ALD氣體源1910經組態以將前驅體氣體及逆反應物前驅體提供至第一處理腔室1906。在一些實施例中,ALD氣體源1910可包括經由第一閥門1910b選擇性地耦接至第一處理腔室1906的第一氣體源1910a及經由第二閥門1910d選擇性地耦接至第一處理腔室1906的第二氣體源1910c。
在一些實施例中,第二氣體源1910c可經組態以將摻雜氣體(例如,包括氮、氟、碳、磷或類似者的氣體)提供至第一處理腔室以在基底上方形成摻雜資料儲存層。在此類實施例中,在操作期間,晶圓轉移機器1904經組態以將基底自載體1922提供至第一處理腔室1906(沿著線2002),其中在基底上方形成第一摻雜資料儲存層(例如,圖13B的第一摻雜資料儲存層1208)及額外資料儲存層(例如,圖13D的第二資料儲存層1218)以界定摻雜資料儲存部件(例如,圖13D的摻雜資料儲存部件1220)。當完成摻雜資料儲存部件的形成,晶圓轉移機器1904將基底轉移至轉移腔室1902內的載體1922(沿著線2004)。
在一些替代實施例中,摻質源1918耦接至第一處理腔室1906且經組態以將摻質提供至第一晶圓吸盤1908上的基底。在此類實施例中,在操作期間,晶圓轉移機器1904經組態以將基底自載體1922提供至第一處理腔室1906(沿著線2002),其中第一未摻雜資料儲存層(例如,圖12A的第一未摻雜資料儲存層1202)形成於基底上方。摻質源1918經組態以隨後摻雜第一未摻雜資料儲存層以形成第一摻雜資料儲存層(例如,圖12B的第一摻雜資料儲存層1208)。一或多個額外資料儲存層(例如,圖12D的第二資料儲存層1218)隨後形成於第一處理腔室1906內以界定摻雜資料儲存部件(例如,圖12D的摻雜資料儲存部件1220)。
因此,本揭露內容是關於一種具有摻雜資料儲存結構的電阻式隨機存取記憶體(RRAM)元件,所述摻雜資料儲存結構經組態以為RRAM元件提供良好耐久性(亦即,可靠性)及相關聯的形成方法。
在一些實施例中,本揭露內容是關於一種形成電阻式隨機存取記憶體(RRAM)元件的方法,所述方法包含在基底上方形成第一電極結構;藉由在第一電極結構上方形成第一資料儲存層且在第一資料儲存層上方形成第二資料儲存層來在第一電極結構上方形成摻雜資料儲存部件;在摻雜資料儲存部件上方形成第二電極結構;且第一資料儲存層經形成為具有摻質的第一摻雜濃度,且第二資料儲存層經形成為具有小於第一摻雜濃度的摻質的第二摻雜濃度。在一些實施例中,第一資料儲存層及第二資料儲存層藉由原子層沈積製程形成。在一些實施例中,形成第一資料儲存層包含在第一電極結構上方形成第一未摻雜資料儲存層;且 用摻質摻雜第一未摻雜資料儲存層以形成具有第一摻雜濃度的第一資料儲存層。在一些實施例中,形成摻雜資料儲存部件更包含在第一資料儲存層上方形成第二未摻雜資料儲存層;摻雜第二未摻雜資料儲存層以將第二資料儲存層形成為具有第二摻雜濃度;且在第二資料儲存層上方形成第三資料儲存層,所述第三資料儲存層具有小於第二摻雜濃度的第三摻雜濃度。在一些實施例中,第二資料儲存層具有非零摻雜濃度。在一些實施例中,第二資料儲存層與第一資料儲存層在原位形成。在一些實施例中,第二資料儲存層經形成為具有實質上為零的摻雜濃度。在一些實施例中,摻質包括氮、氟、碳或磷。在一些實施例中,摻雜資料儲存部件經形成為一定厚度;且摻雜資料儲存部件具有峰值摻質濃度,所述峰值摻質濃度與第一電極結構間隔開厚度的約5%與約40%之間的範圍內的距離。在一些實施例中,摻雜資料儲存部件具有在約1%與約20%之間的峰值摻質濃度。
在其他實施例中,本揭露內容是關於一種形成電阻式隨機存取記憶體(RRAM)元件的方法。所述方法包含在安置於基底上方的導電內連線層上方形成下部電極結構;在下部電極結構上方形成具有多個資料儲存層的摻雜資料儲存部件,多個資料儲存層經形成為具有摻質的不同濃度;且在摻雜資料儲存部件上方形成上部電極結構。在一些實施例中,摻質經組態以在大於約500千焦/莫耳的鍵能下與氧形成鍵。在一些實施例中,摻雜資料儲存部件具有一厚度;且摻質具有峰值摻質濃度,所述峰值摻質濃度與下部電極結構間隔開厚度的約5%與約40%之間的範圍內的距離。在一些實施例中,摻雜資料儲存部件具有摻雜分佈,所述摻 雜分佈具有自摻雜資料儲存部件的底部表面持續延伸至摻雜資料儲存部件的頂部表面的非零摻雜濃度。在一些實施例中,摻雜資料儲存部件具有摻雜分佈,所述摻雜分佈具有自摻雜資料儲存部件的頂部表面持續延伸至與摻雜資料儲存部件的底部表面間隔開非零距離的位置的實質上為零的摻雜濃度。在一些實施例中,摻雜資料儲存部件具有沿著摻雜資料儲存部件的厚度不對稱的摻雜分佈。
在又一些實施例中,本揭露內容是關於一種電阻式隨機存取記憶體(RRAM)元件。所述RRAM元件包含位於導電下部內連線層上方的第一電極;位於第一電極上方的第二電極;以及安置於第一電極與第二電極之間且具有可變電阻的摻雜資料儲存結構,所述摻雜資料儲存結構具有帶摻雜濃度的摻質,所述摻雜濃度具有沿著摻雜資料儲存結構的高度的不均勻摻雜分佈。在一些實施例中,摻質包括氮、氟、碳或磷。在一些實施例中,摻質具有峰值摻質濃度,所述峰值摻質濃度與第一電極間隔開摻雜資料儲存結構的高度的約5%與約40%之間的範圍內的距離。在一些實施例中,摻雜資料儲存結構具有在約1%與約10%之間的峰值摻質濃度。
前文概述若干實施例的特徵,以使得所屬技術領域中具有通常知識者可更佳地理解本揭露內容的態樣。所屬技術領域中具有通常知識者應瞭解,其可易於使用本揭露內容作為設計或修改用於實現本文中所引入的實施例的相同目的及/或達成相同優點的其他製程及結構的基礎。所屬技術領域中具有通常知識者亦應認識到,此類等效構造並不脫離本揭露內容的精神及範疇,且所 屬技術領域中具有通常知識者可在不脫離本揭露內容的精神及範疇的情況下在本文中作出各種改變、替代以及更改。
100:積體晶片
101:RRAM元件
102:基底
104:層間介電結構
106:下部內連線層
108:下部電極
110:摻雜資料儲存結構
112:導電絲
114:氧空缺
116:上部電極
118:上部內連線層
T:厚度

Claims (10)

  1. 一種形成電阻式隨機存取記憶體(RRAM)元件的方法,包括:在基底上方形成第一電極結構;在所述第一電極結構上方形成摻雜資料儲存部件,其中所述摻雜資料儲存部件具有在1%與20%之間的峰值摻質濃度,其中形成所述摻雜資料儲存部件包括:在所述第一電極結構上方形成第一資料儲存層,其中所述第一資料儲存層經形成為具有摻質的第一摻雜濃度;在所述第一資料儲存層上方形成第二資料儲存層,其中所述第二資料儲存層經形成為具有所述摻質的第二摻雜濃度,所述第二摻雜濃度小於所述第一摻雜濃度;以及在所述摻雜資料儲存部件上方形成第二電極結構。
  2. 如申請專利範圍第1項所述的形成電阻式隨機存取記憶體元件的方法,其中所述第一資料儲存層及所述第二資料儲存層是藉由原子層沈積製程形成。
  3. 如申請專利範圍第1項所述的形成電阻式隨機存取記憶體元件的方法,其中形成所述第一資料儲存層包括:在所述第一電極結構上方形成第一未摻雜資料儲存層;以及以所述摻質摻雜所述第一未摻雜資料儲存層以形成具有所述第一摻雜濃度的所述第一資料儲存層。
  4. 如申請專利範圍第3項所述的形成電阻式隨機存取記憶體元件的方法,其中形成所述摻雜資料儲存部件更包括:在所述第一資料儲存層上方形成第二未摻雜資料儲存層; 摻雜所述第二未摻雜資料儲存層,以將所述第二資料儲存層形成為具有所述第二摻雜濃度;以及在所述第二資料儲存層上方形成第三資料儲存層,其中所述第三資料儲存層具有小於所述第二摻雜濃度的第三摻雜濃度。
  5. 一種形成電阻式隨機存取記憶體(RRAM)元件的方法,包括:在安置於基底上方的導電內連線層上方形成下部電極結構;在所述下部電極結構上方形成包括多個資料儲存層的摻雜資料儲存部件,其中所述多個資料儲存層經形成為具有摻質的不同濃度,且所述摻雜資料儲存部件具有在1%與20%之間的峰值摻質濃度;以及在所述摻雜資料儲存部件上方形成上部電極結構。
  6. 如申請專利範圍第5項所述的形成電阻式隨機存取記憶體元件的方法,其中所述摻質經組態以在大於約500千焦/莫耳的鍵能下與氧形成鍵。
  7. 如申請專利範圍第5項所述的形成電阻式隨機存取記憶體元件的方法,其中所述摻雜資料儲存部件具有摻雜分佈,所述摻雜分佈具有自所述摻雜資料儲存部件的底部表面持續延伸至所述摻雜資料儲存部件的頂部表面的非零摻雜濃度。
  8. 如申請專利範圍第5項所述的形成電阻式隨機存取記憶體元件的方法,其中所述摻雜資料儲存部件具有摻雜分佈,所述摻雜分佈具有自所述摻雜資料儲存部件的頂部表面持續延伸至與所述摻雜資料儲存部件的底部表面間隔開非零距離的位置的實質上為零的摻雜濃度。
  9. 一種電阻式隨機存取記憶體(RRAM)元件,包括:第一電極,位於導電下部內連線層上方;第二電極,位於所述第一電極上方;以及摻雜資料儲存結構,安置於所述第一電極與所述第二電極之間且具有可變電阻,其中所述摻雜資料儲存結構包括具有摻雜濃度的摻質,所述摻雜濃度具有沿著所述摻雜資料儲存結構的高度的不均勻摻雜分佈,且所述摻雜資料儲存結構具有在1%與20%之間的峰值摻質濃度。
  10. 如申請專利範圍第9項所述的RRAM元件,其中所述摻質包括氮、氟、碳或磷。
TW109108748A 2019-10-15 2020-03-17 電阻式隨機存取記憶體元件及其形成方法 TWI749484B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/601,771 US11165021B2 (en) 2019-10-15 2019-10-15 RRAM device with improved performance
US16/601,771 2019-10-15

Publications (2)

Publication Number Publication Date
TW202117938A TW202117938A (zh) 2021-05-01
TWI749484B true TWI749484B (zh) 2021-12-11

Family

ID=75155557

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109108748A TWI749484B (zh) 2019-10-15 2020-03-17 電阻式隨機存取記憶體元件及其形成方法

Country Status (5)

Country Link
US (2) US11165021B2 (zh)
KR (1) KR102328386B1 (zh)
CN (1) CN112670407A (zh)
DE (1) DE102019129936A1 (zh)
TW (1) TWI749484B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10164182B1 (en) * 2017-06-26 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Switching layer scheme to enhance RRAM performance
WO2023272550A1 (en) 2021-06-30 2023-01-05 Yangtze Memory Technologies Co., Ltd. Phase-change memory devices, systems, and methods of operating thereof

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201836181A (zh) * 2017-03-16 2018-10-01 華邦電子股份有限公司 電阻式隨機存取記憶體結構及其形成方法
TW201906086A (zh) * 2017-06-26 2019-02-01 台灣積體電路製造股份有限公司 電阻式隨機存取記憶體裝置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5770881A (en) * 1996-09-12 1998-06-23 International Business Machines Coproration SOI FET design to reduce transient bipolar current
US8003971B2 (en) * 2008-03-19 2011-08-23 Qimonda Ag Integrated circuit including memory element doped with dielectric material
US8273617B2 (en) * 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8956983B2 (en) * 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
JP2012243826A (ja) * 2011-05-16 2012-12-10 Toshiba Corp 不揮発性記憶装置
US9246085B1 (en) * 2014-07-23 2016-01-26 Intermolecular, Inc. Shaping ReRAM conductive filaments by controlling grain-boundary density
US9431609B2 (en) * 2014-08-14 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Oxide film scheme for RRAM structure
KR102232512B1 (ko) 2015-08-21 2021-03-29 에스케이하이닉스 주식회사 저항변화 메모리 소자 및 이를 포함하는 메모리 장치
KR102527408B1 (ko) * 2016-06-03 2023-05-02 에스케이하이닉스 주식회사 스위칭 소자 및 저항 변화 메모리 장치의 제조 방법
US9997702B2 (en) * 2016-08-11 2018-06-12 Arm Ltd. Fabrication of correlated electron material films with varying atomic or molecular concentrations of dopant species
CN107887507A (zh) * 2016-09-29 2018-04-06 华邦电子股份有限公司 电阻式随机存取存储器、其制造方法及其操作方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201836181A (zh) * 2017-03-16 2018-10-01 華邦電子股份有限公司 電阻式隨機存取記憶體結構及其形成方法
TW201906086A (zh) * 2017-06-26 2019-02-01 台灣積體電路製造股份有限公司 電阻式隨機存取記憶體裝置

Also Published As

Publication number Publication date
US11165021B2 (en) 2021-11-02
CN112670407A (zh) 2021-04-16
US20210111343A1 (en) 2021-04-15
DE102019129936A1 (de) 2021-04-15
KR20210045278A (ko) 2021-04-26
TW202117938A (zh) 2021-05-01
US20220052260A1 (en) 2022-02-17
KR102328386B1 (ko) 2021-11-19

Similar Documents

Publication Publication Date Title
CN110957343B (zh) 集成芯片和形成集成芯片的方法
US11329221B2 (en) Electrode structure to improve RRAM performance
TWI610476B (zh) 電阻式隨機存取記憶體結構及其形成方法
TWI695498B (zh) 積體晶片及其形成方法
JP5265848B2 (ja) 半導体メモリ素子のキャパシタ及びその製造方法
US11196001B2 (en) 3D ReRAM formed by metal-assisted chemical etching with replacement wordline and wordline separation
US11588106B2 (en) Method to effectively suppress heat dissipation in PCRAM devices
WO2017044166A1 (en) Three-dimensional resistive random access memory containing self-aligned memory elements
TWI749484B (zh) 電阻式隨機存取記憶體元件及其形成方法
TW202109836A (zh) 記憶元件、積體晶片及其形成方法
TWI752717B (zh) 記憶體裝置、積體晶片與用於形成記憶體裝置的方法
KR20020031283A (ko) 반도체집적회로장치 및 그 제조방법
KR101077158B1 (ko) 상변화 메모리 장치의 제조 방법
CN115064491A (zh) 半导体装置结构
TW202205707A (zh) 記憶體元件、用於形成其的方法及積體晶片
TWI778692B (zh) 半導體裝置及其形成方法
US10629808B2 (en) Phase change random access memory and fabrication method thereof
TW202320237A (zh) 積體晶片
TW202324730A (zh) 可變電阻式記憶體及其製造方法