CN111952369A - 半导体装置的形成方法 - Google Patents

半导体装置的形成方法 Download PDF

Info

Publication number
CN111952369A
CN111952369A CN202010151584.8A CN202010151584A CN111952369A CN 111952369 A CN111952369 A CN 111952369A CN 202010151584 A CN202010151584 A CN 202010151584A CN 111952369 A CN111952369 A CN 111952369A
Authority
CN
China
Prior art keywords
source
drain
contact
layer
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010151584.8A
Other languages
English (en)
Inventor
蔡国强
陈志辉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN111952369A publication Critical patent/CN111952369A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors
    • H01L29/7817Lateral DMOS transistors, i.e. LDMOS transistors structurally associated with at least one other device
    • H01L29/782Lateral DMOS transistors, i.e. LDMOS transistors structurally associated with at least one other device the other device being a Schottky barrier diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一种半导体装置的形成方法。此处所述的鳍状场效晶体管装置与其形成方法中,源极/漏极接点的电阻/电容功率损失降低,且源极/漏极接点与栅极通孔之间的工艺容许范围增加。金属隆起物可形成于第一材料的源极/漏极接点的第一凹陷中。金属隆起物与接点通孔可由第二材料形成,且接点通孔可形成于金属隆起物上,以提供鳍状场效晶体管的混合的源极/漏极接点,且源极/漏极接点与金属隆起物之间的界面具有大表面接点面积。介电填充材料及/或顺应性的接点蚀刻停止层可用于形成隔离区于源极/漏极接点的第二凹陷中,以加大鳍状场效晶体管的栅极接点与隔离区之间的工艺容许范围。

Description

半导体装置的形成方法
技术领域
本发明实施例涉及源极/漏极通孔与源极/漏极接点之间的界面电阻/电容降低,且源极/漏极通孔与源极/漏极接点之间的工艺容许范围增加的方法与其形成的半导体装置。
背景技术
半导体装置用于多种电子应用中,比如个人电脑、手机、数码相机、与其他电子设备。半导体装置的制作方法通常为依序沉积绝缘或介电层、导电层、与半导体层的材料于半导体基板上,并采用微影图案化多种材料层以形成电路构件与单元于半导体基板上。
半导体产业持续缩小最小结构尺寸,以持续改良多种电子构件如晶体管、二极管、电阻、电容、或类似物的集成密度,以将更多构件整合至给定面积中。然而随着最小结构尺寸缩小,产生需解决的额外问题。
发明内容
在一实施例中,半导体装置的形成方法包括:使源极/漏极接点的第一部分凹陷,以形成凹陷于鳍状场效晶体管装置的第一介电层中,且源极/漏极接点由第一金属材料形成;沉积第二金属材料以形成金属隆起物于凹陷中,金属隆起物物理接触源极/漏极接点,第二金属材料与第一金属材料不同,且金属隆起物与源极/漏极接点之间的界面的第一宽度小于源极/漏极接点的宽度;沉积第二介电层于金属隆起物上;蚀刻开口穿过第二介电层并自第二介电层露出金属隆起物;以及沉积第三金属材料于穿过第二介电层的开口中,第三金属材料物理接触金属隆起物以形成源极/漏极接点通孔,且源极/漏极接点通孔与金属隆起物之间的界面的第二宽度小于第一宽度。
在另一实施例中,半导体装置的形成方法包括:形成第一源极/漏极区与第二源极/漏极区于半导体装置的鳍状物中;沉积第一介电层于鳍状物上;在沉积第一介电层之后形成栅极堆叠;沉积硬遮罩层于栅极堆叠上;形成第一源极/漏极接点至第一源极/漏极区,并形成第二源极/漏极接点至第二源极/漏极区;使第一源极/漏极接点的一部分凹陷并形成第一凹陷;将第一介电材料填入第一凹陷,且第一介电材料与硬遮罩层的材料组成不同;形成栅极接点通孔至栅极堆叠,第一介电材料与栅极接点通孔隔有第一距离,沿着凹陷顶部的第一源极/漏极接点的表面与栅极接点通孔隔有第二距离,第二距离大于第一距离,且第一距离的方向平行于第二距离的方向;以及形成源极/漏极接点通孔于鳍状物上的第二源极/漏极接点的一部分上并电性耦接至鳍状物上的第二源极/漏极接点的一部分,其中源极/漏极接点通孔与第二源极/漏极接点的材料不同。
在又一实施例中,半导体装置包括:源极/漏极接点,位于半导体基板的鳍状物的源极/漏极区上并电性耦接至源极/漏极区,且源极/漏极接点包括第一金属;金属隆起物,位于源极/漏极接点上并物理接触源极/漏极接点,金属隆起物包括第二金属,且第二金属与第一金属不同;以及源极/漏极通孔,位于金属隆起物上并物理接触金属隆起物,且源极/漏极通孔包括第二金属,其中金属隆起物与源极/漏极接点之间的界面大于源极/漏极通孔与金属隆起物之间的界面。
附图说明
图1A是一些实施例中,在形成半导体装置中的半导体鳍状物、一系列虚置栅极堆叠、与层间介电层时的中间结构的透视图。
图1B与图2至图11是一些实施例中,在形成图1的中间结构的后续工艺中的半导体装置的剖视图。
图12、图13A、图13B、图14、图15A、图15B、图16A、与图16B是一些实施例中,半导体装置的上视图与剖视图。
附图标记说明:
θ1:第一角度
θ2:第二角度
A-A,B-B,C-C,3-3’:切线
D1:第一距离
D2:第二距离
D3:第三距离
D4:第四距离
H1:第一高度
H2:第二高度
H3:第三高度
T1,Th1:第一厚度
T2,Th2:第二厚度
Th3:第三厚度
Th4:第四厚度
WB:底部宽度
W1:第一宽度
W2:第一宽度
W3:第三宽度
W4:第四宽度
W5:第五宽度
W6:第六宽度
W7:第七宽度
W8:第八宽度
W9:第九宽度
100,1400,1600:半导体装置
101:基板
103:第一沟槽
105:第一隔离区
107:鳍状物
109:虚置栅极介电层
111:虚置栅极
113:间隔物
115:虚置堆叠
201:源极/漏极区
201A:第一源极/漏极区
201B:第二源极/漏极区
201C:第三源极/漏极区
203:第一层间介电层
205:回蚀刻工艺
301:第一金属层
303:第一硬遮罩层
401:蚀穿工艺
403:源极/漏极通孔开口
501:硅化物接点
503:源极/漏极接点
503A:第一源极/漏极接点
503B:第二源极/漏极接点
503C:第三源极/漏极接点
601:光刻胶遮罩
603:栅极堆叠
603A:第一栅极堆叠
603B:第二栅极堆叠
701,901:蚀刻工艺
703:第一凹陷
803:隔离区
903:第二凹陷
1001:源极/漏极接点堆叠
1001A:第一源极/漏极接点堆叠
1001B:第二源极/漏极接点堆叠
1001C:第三源极/漏极接点堆叠
1003:源极/漏极金属隆起物
1003A:第一源极/漏极金属隆起物
1003B:第二源极/漏极金属隆起物
1003C:第三源极/漏极金属隆起物
1101:接点蚀刻停止层
1103:第二层间介电层
1105:栅极通孔接点
1107:源极/漏极通孔接点
1501:等高的源极/漏极接点堆叠
1501A:第一等高的源极/漏极接点堆叠
1501B:第二等高的源极/漏极接点堆叠
1501C:第三等高的源极/漏极接点堆叠
具体实施方式
下述内容提供的不同实施例或例子可实施本发明实施例的不同结构。特定构件与排列的实施例是用以简化本公开而非局限本发明。举例来说,形成第一构件于第二构件上的叙述包含两者直接接触,或两者之间隔有其他额外构件而非直接接触。此外,本发明的多种实例可重复采用相同标号以求简洁,但多种实施例及/或设置中具有相同标号的元件并不必然具有相同的对应关系。
此外,空间性的相对用语如“下方”、“其下”、“下侧”、“上方”、“上侧”、或类似用语可用于简化说明某一元件与另一元件在图示中的相对关系。空间性的相对用语可延伸至以其他方向使用的元件,而非局限于图示方向。元件亦可转动90°或其他角度,因此方向性用语仅用以说明图示中的方向。
下述内容将以源极/漏极通孔与源极/漏极接点之间的界面电阻/电容降低,且源极/漏极通孔与源极/漏极接点之间的工艺容许范围增加的鳍状场效晶体管装置举例说明。然而实施例并不限于此处提供的例子,且此构想可实施于多种实施例中。
图1A是半导体装置100如鳍状场效晶体管装置的透视图。在一实施例中,半导体装置100包括基板101与第一沟槽103。基板101可为硅基板,但亦可采用其他基板如绝缘层上半导体基板、应变的绝缘层上半导体基板、或绝缘层上硅锗基板。基板101可为p型半导体,但其他实施例的基板101可为n型半导体。
在其他实施例中,基板101的材料可特定地促进自基板101形成的装置效能(比如促进载子迁移率)。举例来说,一些实施例的基板101的材料选择为外延成长的半导体材料层如外延成长的硅锗,有助于促进自外延成长的硅锗形成的装置的一些效能。虽然采用这些材料可促进装置的一些效能特性,但可能影响装置的其他效能特性。举例来说,采用外延成长的硅者可能劣化装置的界面缺陷(与硅相较)。
形成第一沟槽103的步骤,可为最终形成第一隔离区105的初始步骤。第一沟槽103的形成方法可采用遮罩层(未图示于图1)与合适的蚀刻工艺。举例来说,遮罩层可为含氮化硅的硬遮罩(其形成工艺可为化学气相沉积),但亦可为其他材料如氧化物、氮氧化物、碳化硅、上述的组合、或类似物,且其形成工艺可采用其他工艺如等离子体辅助化学气相沉积、低压化学气相沉积、或形成氧化硅后进行氮化工艺。一旦形成遮罩层,可由合适的光微影工艺图案化遮罩层,并露出基板101将移除以形成第一沟槽103的部分。
然而本技术领域中技术人员应理解,形成遮罩层的上述工艺与材料并非保护基板101的部分并露出基板101的其他部分(用于形成第一沟槽103)的唯一方法。可采用任何合适工艺如图化与显影光刻胶,以露出基板101将移除以形成第一沟槽103的部分。所有这些方法均包含于这些实施例的范围中。
一旦形成与图案化遮罩层,可形成第一沟槽103于基板101中。可由合适工艺如反应性离子蚀刻移除露出的基板101,以形成第一沟槽103于基板101中,但可采用任何合适工艺移除露出的基板101。在一实施例中,第一沟槽103自基板101的表面向下的第一深度小于约
Figure BDA0002402626190000061
比如约
Figure BDA0002402626190000062
然而本技术领域中技术人员应理解,形成第一沟槽103的上述工艺仅为一可能工艺而非唯一实施例。相反地,可采用任何合适工艺以形成第一沟槽103,其包含任何数目的遮罩与移除步骤。
除了形成第一沟槽103,遮罩与蚀刻工艺可自基板101未移除的保留部分额外形成鳍状物107。为了方便显示鳍状物107,附图中的鳍状物107与基板101隔有虚线,但两者之间可或可不存在物理的分隔意义。这些鳍状物107可用于形成多栅极的鳍状场效晶体管的通道区。虽然图1仅显示自基板101形成的三个鳍状物107,但可采用任何数目的鳍状物107。
鳍状物107在基板101的表面所具有的宽度,可介于约5nm至约80nm之间,比如约30nm。此外,鳍状物107彼此之间相隔的距离介于约10nm至约100nm之间,比如约50nm。以此方式分隔的鳍状物107可各自形成分开的通道区,但仍靠近到足以共用一个共同栅极(如下述)。
此外,可由任何合适方法图案化鳍状物107。举例来说,鳍状物107的图案化方法可采用一或多道光微影工艺,包含双重图案化或多重图案化工艺。一般而言,双重图案化或多重图案化工艺结合光微影与自对准工艺,其产生的图案间距小于采用单一的直接光微影工艺所得的图案间距。举例来说,一实施例形成牺牲层于基板上,并采用光微影工艺图案化牺牲层。采用自对准工艺,以沿着图案化的牺牲层侧部形成间隔物。接着移除牺牲层,再采用保留的间隔物图案化鳍状物107。
一旦形成第一沟槽103与鳍状物107,可将介电材料填入第一沟槽103并使第一沟槽103中的介电材料凹陷,以形成第一隔离区105。介电材料可为氧化物材料、高密度等离子体氧化物、或类似物。在视情况清洁与衬垫第一沟槽103之后,可采用化学气相沉积法(如高深宽比工艺)、高密度等离子体化学气相沉积法、或本技术领域已知的其他合适方法形成介电材料。
可将介电材料超填第一沟槽103与基板101,再移除第一沟槽103与鳍状物107之外的多余材料,以填入第一沟槽103。移除多余材料的方法可为合适工艺如化学机械研磨、蚀刻、上述的组合、或类似方法。在一实施例中,移除工艺可移除位于鳍状物107上的任何介电材料,使鳍状物107的表面露出以进行后续工艺步骤。
一旦介电材料填入第一沟槽103,接着可使介电材料自鳍状物107的表面凹陷。凹陷步骤可露出与鳍状物107的上表面相邻的鳍状物107的侧壁的至少一部分。使介电材料凹陷的方法可采用湿蚀刻,比如将鳍状物107的上表面浸入蚀刻剂如氢氟酸中,但亦可采用其他蚀刻剂(如氢气)或其他方法(如反应性离子蚀刻、采用氨/三氟化氮作为蚀刻剂的干蚀刻、化学氧化物移除、或干式化学清洁)。介电材料自鳍状物107的表面凹陷的距离可介于约
Figure BDA0002402626190000072
至约
Figure BDA0002402626190000073
比如约
Figure BDA0002402626190000071
此外,凹陷步骤可移除任何残留于鳍状物107上的介电材料,确保鳍状物107露出以用于后续工艺。
然而本技术领域中技术人员应理解,上述步骤仅为将介电材料填入凹陷的所有工艺流程的一部分。举例来说,亦可采用衬垫步骤、退火步骤、填隙步骤、上述的组合、或类似步骤,以形成第一沟槽103并将介电材料填入第一沟槽103。所有可能的工艺步骤均包含于此实施例的范围中。
在形成第一隔离区105之后,可形成虚置栅极介电层109、虚置栅极介电层109上的虚置栅极111、与间隔物113于每一鳍状物107上。在一实施例中,虚置栅极介电层109的形成方法可为热氧化、化学气相沉积、溅镀、或本技术领域中用于形成栅极介电层的任何其他已知方法。鳍状物107的顶部上的虚置栅极介电层109的厚度,可与鳍状物107的侧壁上的虚置栅极介电层109的厚度不同,端视栅极介电层的形成技术而定。
虚置栅极介电层109的材料可包含氧化硅或氮氧化硅,其厚度为约
Figure BDA0002402626190000083
至约
Figure BDA0002402626190000082
比如约
Figure BDA0002402626190000081
虚置栅极介电层109可由高介电常数的材料(比如介电常数大于约5的材料)形成,比如氧化镧、氧化铝、氧化铪、氮氧化铪、氧化锆、或上述的组合,其等效氧化物厚度介于约
Figure BDA0002402626190000085
至约
Figure BDA0002402626190000084
比如小于或等于约
Figure BDA0002402626190000086
此外,可采用氧化硅、氮氧化硅、及/或高介电常数材料的任何组合作为虚置栅极介电层109。
虚置栅极111可包含导电或非导电的材料,比如多晶硅、钨、铝、铜、铝铜、钛、氮化钛铝、碳化钽、碳氮化钽、氮化钽硅、锰、锆、氮化钛、钽、氮化钽、钴、镍、上述的组合、或类似物。虚置栅极111的沉积方法可为化学气相沉积、溅镀沉积、或本技术领域已知的沉积导电材料的其他方法。虚置栅极111的厚度可为约
Figure BDA0002402626190000087
至约
Figure BDA0002402626190000088
虚置栅极111可具有不平坦的上表面,且可在图案化虚置栅极111或栅极蚀刻之前平坦化虚置栅极111的上表面。此时可或可不将离子导入虚置栅极111中。举例来说,可由离子布植技术导入离子。
一旦形成虚置栅极介电层109与虚置栅极111,可图案化上述两者以形成一系列的虚置堆叠115于鳍状物107上。虚置堆叠115定义虚置栅极介电层109下的鳍状物107的每一侧上的多个通道区。虚置堆叠115的形成方法可为沉积与图案化虚置栅极111上的栅极遮罩(未图示于图1),比如采用本技术领域已知的沉积与光微影技术。栅极遮罩可结合一般常用的遮罩与牺牲材料(比如但不限于氧化硅、氮氧化硅、碳氮氧化硅、碳化硅、碳氧化硅、及/或氮化硅),且其沉积厚度可介于约
Figure BDA0002402626190000089
至约
Figure BDA00024026261900000810
之间。可采用干蚀刻工艺蚀刻虚置栅极111与虚置栅极介电层109,以形成图案化的虚置堆叠115。
一旦图案化虚置堆叠115,即可形成间隔物113。间隔物113可形成于虚置堆叠115的两侧上。间隔物113的形成方法可为毯覆性地沉积一个(如图1A所示)或多个(如图1B所示)间隔物层于之前形成的结构上。一或多个间隔物层可包含氮化硅、氮氧化物、碳化硅、氮氧化硅、碳氮氧化硅、碳氧化硅、或类似物,且其形成方法可采用化学气相沉积、等离子体辅助化学气相沉积、溅镀、或本技术领域已知的其他方法。在超过一个间隔物层的实施例中,可由类似方式形成类似但彼此不同的材料的一或多个间隔物层,比如具有不同组成百分比并具有不同固化温度与孔洞率的材料。此外,一或多个间隔物层可包含与第一隔离区105中的介电材料相同的材料,或者与第一隔离区105中的介电材料的蚀刻特性不同的不同材料,接着可图案化一或多个间隔物层,比如以一或多道蚀刻步骤,自结构的水平表面移除一或多个间隔物层。如此一来,沿着虚置堆叠115的侧壁形成的一或多个间隔物层统称为间隔物113。
在一实施例中,间隔物113的厚度介于约
Figure BDA0002402626190000091
至约
Figure BDA0002402626190000092
之间。此外,一旦形成间隔物113,虚置堆叠115的相邻堆叠之间隔物113彼此相隔的距离介于约5nm至约200nm之间,比如约20nm。然而可采用任何合适的厚度与距离。
图1A亦显示自虚置堆叠115与间隔物113未保护的这些区域移除鳍状物107(但图1A仍显示鳍状物107的位置,以显示其原本所在的位置),以及再成长源极/漏极区201之后的结构。自虚置堆叠115与间隔物113未保护的区域移除鳍状物107的方法,可为采用虚置堆叠115与间隔物113作为硬遮罩的反应性离子蚀刻,或任何其他合适的移除工艺。移除工艺可持续到鳍状物107与第一隔离区105的表面共平面(如图示)或低于第一隔离区105的表面。
一旦移除鳍状物107的这些部分,可形成并图案化硬遮罩(未图示)以覆盖虚置栅极111,其可避免成长,且可再成长源极/漏极区201以接触每一鳍状物107。在一实施例中,可再成长源极/漏极区201以形成应力体,其施加应力至虚置堆叠115下方的鳍状物107的通道区。在一实施例中,鳍状物107包括硅而鳍状场效晶体管为p型装置,且可由选择性外延工艺再成长源极/漏极区201,而再成长的材料可为硅或晶格常数不同于通道区的材料如硅锗。外延成长工艺可采用前驱物如硅烷、二氯硅烷、锗烷、或类似物,其可历时约5分钟至约120分钟(如约30分钟)。
在一实施例中,源极/漏极区201的厚度可介于约
Figure BDA0002402626190000101
至约
Figure BDA0002402626190000102
之间,且其高于第一隔离区105的高度可介于约
Figure BDA0002402626190000103
至约
Figure BDA0002402626190000104
之间(比如约
Figure BDA0002402626190000105
)。在此实施例中,源极/漏极区201高于第一隔离区105的上表面的高度可介于约5nm至约250nm之间,比如约100nm。然而可采用任何合适高度。
一旦形成源极/漏极区201,可布植适当的掺质至源极/漏极区201以补充鳍状物107中的掺质。举例来说,可布植p型掺质如硼、镓、铟、或类似物以形成p型金属氧化物半导体装置。在其他实施例中,可布植n型掺质如磷、砷、锑、或类似物以形成n型金属氧化物半导体装置。这些掺质的布植可采用虚置堆叠115与间隔物113作为遮罩。应注意的是,本技术领域中技术人员应理解,可采用许多其他工艺、步骤、或类似方法以布质掺质。举例来说,本技术领域中技术人员应理解,可采用间隔物与衬垫层的多种组合进行多种布植,其形成特定形状或特性的源极/漏极区以适用于特定目的。这些工艺的任一者可用于布植掺质,且上述内容并非用于局限此实施例至上述步骤。
此外,形成源极/漏极区201时覆盖虚置栅极111的硬遮罩可在此时移除。在一实施例中,硬遮罩的移除方法可采用湿式或干式蚀刻工艺,其对硬遮罩的材料具有选择性。然而可采用任何合适的移除工艺。
图1A亦显示第一层间介电层203(为了清楚显示下方结构,在图1A中以虚线表示第一层间介电层203)形成于虚置堆叠115与源极/漏极区201上。第一层间介电层203的材料可包含硼磷硅酸盐玻璃,但亦可采用任何合适的介电层。第一层间介电层203的形成工艺可采用等离子体辅助化学气相沉积,但亦可改用其他工艺如低压化学气相沉积。第一层间介电层203的厚度可介于约
Figure BDA0002402626190000106
至约
Figure BDA0002402626190000107
之间。一旦形成第一层间介电层203,可采用平坦化工艺如化学机械研磨工艺平坦化第一层间介电层203与间隔物113,但亦可采用任何其他合适工艺。
图1B是一些实施例中,图1A沿着切线3-3'的剖视图,以利显示栅极接点、栅极通孔、源极/漏极接点、与源极/漏极通孔的形成。
如图2所示,移除虚置栅极111与虚置栅极介电层109。在一实施例中,虚置栅极111与虚置栅极介电层109的移除方法可采用一或多道湿蚀刻或干蚀刻工艺,其采用的蚀刻剂对虚置栅极111与虚置栅极介电层109的材料具有选择性。然而可采用任何合适的移除工艺。
一旦移除虚置栅极111与虚置栅极介电层109,可沉积栅极堆叠的多个层状物(在图2中统称为栅极堆叠603),包括第一介电材料、第一导电层、第一金属材料、功函数层、与第一阻障层。在一实施例中,第一介电材料为高介电常数的材料如氧化铪、氧化铪硅、氮氧化铪硅、氧化铪钽、氧化铪钛、氧化铪锆、氧化镧、氧化锆、氧化钽、上述的组合、或类似物,其沉积工艺可为原子层沉积、化学气相沉积、或类似工艺。第一介电材料的沉积厚度可介于约
Figure BDA0002402626190000111
至约
Figure BDA0002402626190000112
之间,但亦可采用任何合适的材料与厚度。
在形成第一介电材料之前,可视情况形成界面层。在一实施例中,界面层的材料可为氧化硅,其形成工艺可为原位蒸汽产生工艺。然而可采用任何合适的材料或形成工艺。
第一导电层可为金属硅化物材料如氮化钛硅。在一实施例中,第一导电层的形成方法可采用沉积工艺如化学气相沉积,但亦可采用任何合适的沉积方法(比如沉积与后续的硅化步骤),且其厚度可介于约
Figure BDA0002402626190000113
至约
Figure BDA0002402626190000114
之间。然而可采用任何合适厚度。
第一金属材料可与第一介电材料相邻以作为阻障层,且第一金属材料可为氮化钽、钛、氮化钛铝、碳化钽、碳氮化钽、氮化钽硅、锰、锆、氮化钛、钌、钼、氮化钨、其他金属氧化物、金属氮化物、金属硅酸盐、过渡金属氧化物、过渡金属氮化物、过渡金属硅酸盐、金属的氮氧化物、金属的铝酸盐、硅酸锆、铝酸锆、上述的组合、或类似物。第一金属材料的沉积方法可为原子层沉积、化学气相沉积、溅镀、或类似方法,且其厚度介于约
Figure BDA0002402626190000115
至约
Figure BDA0002402626190000116
之间,但亦可采用任何合适的沉积工艺或厚度。
功函数层形成于第一金属材料上,而功函数层所用的材料选择依据所需的装置种类。例示性的p型功函数金属可包含铝、碳化钛铝、氮化钛、氮化钽、钌、钼、氮化钨、锆硅化物、钼硅化物、钽硅化物、镍硅化物、其他合适的p型功函数材料、或上述的组合。例示性的n型功函数金属可包含钛、银、钽铝、碳化钽铝、氮化钛铝、碳化钽、碳氮化钽、氮化钽硅、锰、锆、其他合适的n型功函数材料、或上述的组合。功函数值与功函数层的材料组成相关,因此可选择功函数层的材料以调整其功函数值,使装置中的个别区域达到所需的临界电压。功函数层的沉积方法可为化学气相沉积、物理气相沉积、及/或其他合适工艺,且公函树的沉积厚度可介于约
Figure BDA0002402626190000121
至约
Figure BDA0002402626190000122
之间。
第一阻障层可与功函数层相邻,且具体实施例中的第一阻障层可与第一金属材料类似。举例来说,第一阻障层可为金属材料如氮化钛、钛、氮化钛铝、碳化钽、碳氮化钽、氮化钽硅、锰、锆、氮化钽、钌、钼、氮化钨、其他金属氧化物、金属氮化物、金属硅酸盐、过渡金属氧化物、过渡金属氮化物、过渡金属硅酸盐、金属的氮氧化物、金属的铝酸盐、硅酸锆、铝酸锆、上述的组合、或类似物。此外,第一阻障层的沉积工艺可采用原子层沉积、化学气相沉积、溅镀、或类似工艺,且其厚度介于约
Figure BDA0002402626190000123
至约
Figure BDA0002402626190000124
之间,但可采用任何合适的沉积工艺或厚度。
金属层的材料可适于作为晶种层以助后续填充工艺,并有助于阻挡或减少氟原子迁移至功函数层中。在具体实施例中,金属层可为结晶钨,其形成方法可采用不含氟原子的原子层沉积工艺,但亦可采用任何合适的沉积工艺。金属层的厚度可介于约
Figure BDA0002402626190000125
至约
Figure BDA0002402626190000126
之间,比如介于约
Figure BDA0002402626190000127
至约
Figure BDA0002402626190000128
之间。
一旦形成金属层,可沉积填充材料以填入开口的其余部分。在一实施例中,填充材料可为铝、铜、铝铜、钨、钛、氮化钛铝、碳化钽、碳氮化钽、氮化钽硅、锰、锆、氮化钛、钽、氮化钽、钴、镍、上述的组合、或类似物,且其厚度可介于约
Figure BDA0002402626190000129
至约
Figure BDA00024026261900001210
之间,比如约
Figure BDA00024026261900001211
然而可采用任何合适材料。
在沉积填充材料以填入或超填开口之后,可平坦化第一介电材料、第一导电层、第一金属材料、功函数层、第一阻障层、金属层、与填充材料的材料以形成栅极堆叠603。在一实施例中,可采用化学机械研磨工艺等方法平坦化材料与第一层间介电层203,但亦可采用任何合适工艺如研磨或蚀刻。此外,平坦化后的栅极堆叠603的底部宽度WB可介于约10nm至约13nm之间(比如约11nm),但可采用任何合适尺寸。
如图2所示,使栅极堆叠603凹陷。在形成与图案化栅极堆叠603的材料之后,可采用回蚀刻工艺205(在图2中以箭号标示)使栅极堆叠603的材料凹陷,且回蚀刻工艺205采用的蚀刻剂对栅极堆叠603的材料具有选择性。回蚀刻工艺205可微湿蚀刻或干蚀刻工艺,其采用的蚀刻剂对栅极堆叠603的材料具有选择性。在一些实施例中,栅极堆叠603的材料凹陷的第一距离D1可介于约5nm至约150nm,比如约120nm。然而可采用任何合适的蚀刻工艺、任何合适的蚀刻剂、与任何合适的距离。
在使栅极堆叠603凹陷时亦可使间隔物113凹陷,但间隔物113的凹陷程度小于栅极堆叠603的凹陷程度。如此一来,一实施例的间隔物113凹陷的第二距离D2介于约1nm至约10nm之间,比如约5nm。然而可采用任何合适的距离。
如图3所示,沉积第一金属层301,并沉积第一硬遮罩层303于第一金属层301上。一旦使栅极堆叠603的材料凹陷,即沉积第一金属层301(如盖层)以作为后续工艺(如下述)所用的蚀刻停止层。在一实施例中,第一金属层301为金属材料如钨、钴、铝、锆、金、铂、铜、钌、上述金属材料的合金、或类似物,且其形成方法可采用原子层沉积工艺以选择性地成长于栅极堆叠603的材料上,而不形成于其他的露出表面上。第一金属层301的厚度可介于约1nm至约10nm之间,比如3nm。然而亦可采用任何合适的材料、形成工艺、与厚度。
在一实施例中,第一硬遮罩层303的材料对形成栅极堆叠603、第一金属层301、第一层间介电层203、与间隔物113所用的其他材料具有高蚀刻选择性,比如氧化物如氧化硅或氮化物如氮化硅。第一硬遮罩层303对形成多个源极/漏极接点503所用的第二金属层的材料,以及隔离区803的第二硬遮罩材料(未图示于图3,但分别搭配图5与图8详细说明)亦具有高蚀刻选择性。如此一来,在蚀刻与第一硬遮罩层303具有高蚀刻选择性的任何材料时,第一硬遮罩层303可作为蚀刻遮罩且具有少量残留材料。而不需另一蚀刻停止层。
此外,一些实施例中的第一硬遮罩层303的介电材料具有良好的化学机械研磨性质,有助于增加高级技术节点中的整合弹性。在一具体实施例中,第一硬遮罩层303的材料可为氮化硅,但亦可采用其他合适材料如氧化硅、氧化铝、碳氧化硅、碳化硅、氮化锆、氧化锆、上述的组合、或类似物。第一硬遮罩层303的沉积工艺可采用等离子体辅助原子层沉积、热原子层沉积、或等离子体辅助化学气相沉积,且工艺温度可维持在约250℃至约400℃之间。然而可采用任何合适的沉积工艺与工艺条件。
通过这些材料,可保护栅极高度并有助于在后续蚀刻工艺时降低或避免蚀刻损失或损伤,如下所述。此外,采用这些材料在小关键尺寸与高深宽比的氧化物蚀刻时,可达氧化物的高蚀刻选择性。此外,这些材料在后续蚀刻隔离区803的第二硬遮罩材料时可提供高蚀刻选择性,且提供宽的蚀刻工艺容许范围。
一旦沉积第一硬遮罩层303,可平坦化第一硬遮罩层303以移除多于材料。在一实施例中,可采用化学机械研磨工艺等方法平坦化第一硬遮罩层303,其中蚀刻剂与磨料搭配旋转板,可进行反应并移除第一硬遮罩层303的多余材料。然而可采用任何合适的平坦化工艺,以平坦化第一硬遮罩层303与第一层间介电层203。
通过平坦化第一硬遮罩层303与第一层间介电层203,第一硬遮罩层303可具有两部分:位于间隔物113之中的第一部分,以及位于间隔物113之外与第一层间介电层203中的第二部分。在一实施例中,第一部分的第一宽度W1介于约12nm至约15nm之间(比如约12nm),且第一厚度T1介于约20nm至约30nm之间(比如约22nm)。此外,第二部分的第二宽度W2介于约20nm至约28nm之间(比如约22nm),且第二厚度T2介于约14nm至约26nm之间(比如约16nm)。然而亦可采用任何合适尺寸。
如图4所示,进行蚀穿工艺401(在图4中以箭号表示)以形成穿过第一层间介电层203的源极/漏极通孔开口403,其可露出源极/漏极区201。在一些实施例中,第一硬遮罩层303与间隔物113可作为遮罩,且蚀穿工艺401包含一或多道反应性离子蚀刻工艺,其采用的蚀刻剂对第一层间介电层203的材料具有选择性。然而可采用任何合适的蚀刻剂。
此外,在不偏离此处公开的实施例的构思下,蚀穿工艺401可采用其他遮罩。举例来说,可沉积一或多种其他硬遮罩层于第一硬遮罩层303上,接着采用光微影遮罩与蚀刻工艺图案化其他硬遮罩层,以形成其他遮罩。举例来说,可施加单层或三层的光刻胶于一或多个硬遮罩层上,并曝光与显影光刻胶以形成所需图案。一旦形成所需图案于光刻胶中,可采用一或多道蚀刻工艺以将光刻胶图案转移至下方的一或多个其他硬遮罩层中,且图案化的一或多个其他硬遮罩层可作为遮罩以进行蚀穿工艺401。然而可采用任何合适工艺,以形成源极/漏极通孔开口403穿过第一层间介电层203,并露出源极/漏极区201。
如图5所示,一旦露出源极/漏极区201,可视情况形成硅化物接点501于源极/漏极区201上。视情况形成的硅化物接点501可包含钛如钛硅化物,以降低接点的肖特基能障。然而亦可采用其他金属如镍、钴、铒、铂、钯、或类似物。可顺应性地沉积合适的金属层,再进行退火步骤使金属与下方的源极/漏极区201露出的硅反应以进行硅化步骤。接着移除未反应的金属,且移除方法可为选择性蚀刻工艺。视情况形成的硅化物接点厚度可介于约5nm至约50nm之间。
如图5所示,形成源极/漏极接点503的第二金属层,以物理接触视情况形成的硅化物接点(若存在)或源极/漏极区201。在一实施例中,源极/漏极接点503所用的第二金属层可为导电材料如钨、铝、铜、铝铜、钴、碳化钽、碳氮化钽、氮化钽硅、锰、锆、氮化钛、钽、钽化钽、镍、钛、氮化钛铝、钌、钼、或氮化钨,但亦可采用任何合适材料如铝、铜、上述的合金、上述的组合、或类似物,且其沉积工艺可采用溅镀、化学气相沉积、电镀、无电镀、或类似方法,以填入及/或超填第一硬遮罩层303中的开口。
一旦沉积第二金属层,可平坦化源极/漏极接点503的第二金属层与第一硬遮罩层303以移除多余材料,并将第二金属层分隔成对应源极/漏极区201的个别区域的多个源极/漏极接点503的个别接点。在一实施例中,源极/漏极接点503的第二金属层的平坦化方法可采用化学机械研磨工艺,其中蚀刻剂与磨料搭配旋转板,可进行反应并移除源极/漏极接点503的第二金属层极/或第一硬遮罩层303的材料的多余材料。然而可采用任何合适的平坦化工艺,以平坦化源极/漏极接点503所用的第二金属层与第一硬遮罩层303,并将第二金属层分隔成源极/漏极接点503的多个个别接点。如此一来,可平坦化对应源极/漏极接点503的多个个别接点的第二金属层的多个接点区与第一硬遮罩层303,且在第一硬遮罩层303中露出第二金属层的多个接点区。
如图6所示,沉积与图案化光刻胶遮罩601于源极/漏极接点503所用的第二金属层与第一硬遮罩层303的平坦表面上,以准备进行后续工艺。在一些实施例中,施加单层或三层的光刻胶于源极/漏极接点503所用的第二金属层与第一硬遮罩层303的平坦表面上。一旦施加光刻胶,可曝光与显影光刻胶以形成所需图案于光刻胶遮罩601中。在一些实施例中,光刻胶遮罩601的图案露出源极/漏极接点503所用的第二金属层的一些表面区域,且光刻胶遮罩601维持覆盖源极/漏极接点503所用的第二金属层的一些表面区域。
在图7所示的一些实施例中,使自光刻胶遮罩601露出的源极/漏极接点503的第二金属层凹陷。在一些实施例中,光刻胶遮罩601与第一硬遮罩层303作为遮罩,并采用蚀刻工艺701(如湿蚀刻、干蚀刻、或类似方法)使源极/漏极接点503的第二金属层凹陷至低于第一硬遮罩层303的平坦表面。在一实施例中,使源极/漏极接点503所用的第二金属层凹陷的方法所采用的一或多种蚀刻剂,对第二金属层的材料(如钴)具有选择性,而不明显移除第一硬遮罩层303的材料。如此一来,第一凹陷703形成至第二金属层的露出的源极/漏极接点503中,而光刻胶遮罩601保护的源极/漏极接点503与第一硬遮罩层303维持平坦。在一实施例中,第一凹陷703的深度如第三距离D3介于约1nm至约20nm之间,比如约10nm。然而可采用任何合适深度。
如图8所示,移除光刻胶遮罩601(比如通过灰化)并沉积隔离区803的第二硬遮罩材料至第一凹陷703中。在一实施例中,隔离区803的第二硬遮罩材料相对于第一硬遮罩层303与间隔物113具有高蚀刻选择性。举例来说,隔离区803的第二硬遮罩材料相对于第一硬遮罩层303与间隔物113的蚀刻选择性大于约12,比如介于约12至约40之间。
在一些实施例中,隔离区803的第二硬遮罩材料可为单层或多层的介电层堆叠,且其材料可包含高介电常数的介电材料(比如介电常数大于4)、低介电常数的介电材料(比如介电常数小于4)、及/或金属氧化物材料。隔离区803的第二硬遮罩材料可采用高介电常数(如介电常数大于4)的介电材料,比如氧化硅、氮化硅、氮氧化硅、碳氮氧化硅、碳氮化硅、硅化合物、氮化物化合物、氧化物化合物、上述的组合、或类似物。隔离区803的第二硬遮罩材料亦可采用低介电常数(如介电常数小于4)的介电材料,比如SiLK、Black Diamond、上述的组合、或类似物。此外,隔离区803的第二硬遮罩材料的组成亦可采用金属氧化物材料如氧化锆、氧化铝、上述的组合、或类似物。然而可采用任何其他合适材料。隔离区803的第二硬遮罩材料的沉积方法可采用等离子体辅助原子层沉积、热原子层沉积、或等离子体辅助化学气相沉积,其中工艺温度维持在约250℃至约400℃之间。然而亦可采用任何合适的沉积与工艺条件。
可形成隔离区803的第二硬遮罩材料,以填入并超填第二金属层的凹陷的源极/漏极接点503中的第一凹陷703。一旦沉积隔离区803的第二硬遮罩材料,可采用平坦化工艺如化学机械研磨工艺平坦化隔离区803的第二硬遮罩材料与第一硬遮罩层303,但亦可采用任何合适工艺。在一些实施例中,隔离区803的第二硬遮罩材料的第一厚度Th1介于约0.5nm至约20nm之间。如此一来,隔离区803的第二硬遮罩材料作为第二金属层的凹陷的源极/漏极接点503的隔离区,其将详述如下。
如图9所示,在使露出的第二金属层的一或多个源极/漏极接点503凹陷时,以光刻胶遮罩601保护保留的第二金属层的一或多个源极/漏极接点503,并形成第二凹陷903于保留的第二金属层的一或多个源极/漏极接点503中。在一些实施例中,第一硬遮罩层303与隔离区803的第二硬遮罩材料作为遮罩,并采用蚀刻工艺901(如湿蚀刻、干蚀刻、或类似方法)使保留的第二金属层的一或多个源极/漏极接点503凹陷至低于第一硬遮罩层303的平坦表面。在一实施例中,使源极/漏极接点503的第二金属层凹陷的方法所采用的一或多种蚀刻剂,对源极/漏极接点503所用的第二金属层(如钴)具有选择性,而不明显移除第一硬遮罩层303的材料与隔离区803的第二硬遮罩材料。如此一来,形成第二凹陷903至保留的第二金属层的一或多个源极/漏极接点503的露出表面中的深度如第四距离D4,介于约10nm至约40nm之间(比如约28nm)。然而可采用任何合适深度。
如图10所示,形成源极/漏极金属隆起物1003所用的第三金属层,以物理连接保留的第二金属层的一或多个源极/漏极接点503。在此处所述的实施例中,源极/漏极金属隆起物1003所用的第三金属层为导电材料,其不同于源极/漏极接点503所用的第二金属层的材料。源极/漏极金属隆起物1003的材料可为钨、铝、铜、铝铜、碳化钽、碳氮化钽、氮化钽硅、锰、锆、氮化钛、钽、氮化钽、镍、钛、氮化钛铝、钌、钼、氮化物、或钴,但亦可采用任何合适材料如铝、铜、上述的合金、上述的组合、或类似物。源极/漏极金属隆起物1003所用的第三金属层的沉积工艺可采用溅镀、化学气相沉积、原子层沉积、电镀、无电镀、或类似工艺,以将第三金属层填入及/或超填保留的第二金属层的一或多个源极/漏极接点503中的第二凹陷903。然而可采用任何合适的材料与任何合适的沉积工艺。
一旦沉积源极/漏极金属隆起物1003所用的第三金属层,可平坦化源极/漏极金属隆起物1003所用的第三金属层与第一硬遮罩303以移除多余材料,并将第三金属层分隔成多个独立的金属隆起物,以形成对应源极/漏极接点503的个别接点(如503A、503B、与503C)的源极/漏极金属隆起物1003(如1003A、1003B、与1003C)。图10是穿过图1的切线3-3’的剖视图,其显示第三源极/漏极金属隆起物1003C形成于第三源极/漏极接点503C上并与其物理接触。虽然图10未图示,但应理解在其他切线的剖面图中,第一源极/漏极金属隆起物1003A与第二源极/漏极金属隆起物1003B可分别形成于第一源极/漏极接点503A与第二源极/漏极接点503B上并与其物理接触,此将搭配后续附图详述如下。在一实施例中,可采用化学机械研磨工艺等工艺平坦化源极/漏极金属隆起物1003所用的第三金属层,其中蚀刻剂与磨料搭配旋转板,可进行反应并移除源极/漏极金属隆起物1003所用的第三金属层、第一硬遮罩层303的材料、及/或隔离区803的第二硬遮罩材料的多余材料。如此一来,源极/漏极金属隆起物1003所用的第三金属层的第二厚度Th2可介于约0.5nm至约20nm之间。然而可采用任何合适的平坦化工艺与任何合适尺寸以平坦化源极/漏极金属隆起物1003所用的第三金属层、第一硬遮罩层303、与隔离区803的第二硬遮罩材料,将第三金属层分隔成个别的金属隆起物,以形成源极/漏极金属隆起物1003(如1003A、1003B、与1003C)。
在一些实施例中,第三源极/漏极金属隆起物1003C、第三源极/漏极接点503C、与视情况形成的硅化物接点501(若存在)一起形成第三源极/漏极区201C的第三源极/漏极接点堆叠1001C。在一些实施例中,第三源极/漏极金属隆起物1003C的材料与第三源极/漏极接点503C的材料不同。如此一来,第三源极/漏极接点堆叠1001C在此处可称作混合的源极/漏极接点堆叠。此外,在图10的剖视图中,第一源极/漏极金属隆起物1003A与第一源极/漏极接点503A形成第一源极/漏极区201A的第一源极/漏极接点堆叠1001A,而第二源极/漏极金属隆起物1003B与第二源极/漏极接点503B形成第二源极/漏极区201B的第二源极/漏极接点堆叠1001B。第一源极/漏极接点堆叠1001A、第二源极/漏极接点堆叠1001B、与第三源极/漏极接点堆叠1001C在此处统称为源极/漏极接点堆叠1001。此外,第二硬遮罩材料的部分作为源极/漏极接点堆叠1001(如1001A、1001B、与1001C)的对应接点堆叠上的隔离区803。虽然图10未图示,亦应理解在其他切线的其他剖视图中,隔离区803位于第三源极/漏极接点堆叠1001C上,其将搭配后续附图详述于下。在一些实施力中,隔离区803的高度(比如图8的隔离区803的第一厚度Th1)可分别与第一源极/漏极金属隆起物1003A、第二源极/漏极金属隆起物1003B、与第三源极/漏极金属隆起物1003C的高度(比如图10的第三源极/漏极金属隆起物1003C的第二厚度Th2)直接相关,详述如下。
如图11所示的一些实施例,形成接点蚀刻停止层1101与第二层间介电层1103于源极/漏极金属隆起物1003所用的第三金属层、第一硬遮罩层303、与隔离区803的第二硬遮罩材料的平坦表面上。在一些实施例中,图11亦显示栅极通孔接点1105与源极/漏极通孔接点1107穿过第二层间介电层1103与接点蚀刻停止层1101。栅极通孔接点1105形成于栅极堆叠603的第一栅极堆叠603A上并与其电性耦接,而源极/漏极通孔接点1107形成于源极/漏极区201的第三源极/漏极区201C上并与其电性耦接。
在沉积源极/漏极金属隆起物1003所用的第三金属层之后,平坦化第三金属层、第一硬遮罩层303、与隔离区803的第二硬遮罩材料,接着沉积接点蚀刻停止层1101于源极/漏极金属隆起物1003所用的第三金属层上。在一些实施例中,接点蚀刻停止层1101可形成为单层或多个蚀刻停止层,其采用的材料可为氮化硅、碳氧化硅、氧化铝、上述的组合、或类似物,且其可毯覆性及/或顺应性地沉积于源极/漏极接点503、源极/漏极金属隆起物1003所用的第三金属层、第一硬遮罩层303、及/或隔离区803的第二硬遮罩材料的任何露出表面上。接点蚀刻停止层1101的沉积方法可采用一或多道的低温沉积工艺如化学气相沉积、物理气相沉积、或原子层沉积。在一实施例中,接点蚀刻停止层1101可为多层的蚀刻停止层,其形成方法可为沉积第一蚀刻停止材料如氧化铝于第三金属层(作为源极/漏极金属隆起物1003)、第一硬遮罩层303、与隔离区803的第二硬遮罩材料的平坦表面上,并沉积第二蚀刻停止材料(如氮化硅)于第一蚀刻停止层上。在一些实施例中,接点蚀刻停止层1101的沉积总厚度如第三厚度Th3可介于约
Figure BDA0002402626190000201
至约
Figure BDA0002402626190000202
之间,比如约
Figure BDA0002402626190000203
然而可沉积任何合适的蚀刻停止材料、任何合适数目的蚀刻停止层、或任何上述的合适组合,以形成接点蚀刻停止层1101。
一旦形成接点蚀刻停止层1101,即沉积第二层间介电层1103于接点蚀刻停止层1101上。第二层间介电层1103的组成可为介电材料如氧化物(例如氧化硅),其形成方法可为可接受的工艺如化学气相沉积、等离子体辅助原子层沉积、热原子层沉积、等离子体辅助化学气相沉积、或类似工艺。然而亦可采用任何合适方法(如化学气相沉积、等离子体辅助化学气相沉积、可流动的化学气相沉积、或类似方法)所沉积的其他合适绝缘材料(比如磷硅酸盐玻璃、硼硅酸盐玻璃、硼磷硅酸盐玻璃、未掺杂的硅酸盐玻璃、或类似物)。在形成第二层间介电层1103之后可使其固化,接着采用平坦化工艺如化学机械研磨工艺使其平坦化,且固化方法可为紫外线固化工艺,但亦可采用任何合适工艺。如此一来,第二层间介电层1103的第四厚度Th4介于约5nm至约20nm之间,比如约13nm。然而可采用任何合适厚度。
一旦形成与平坦化第二层间介电层1103,即形成栅极通孔接点1105与源极/漏极通孔接点1107所用的接点通孔开口穿过第二层间介电层1103,且开口的形成方法可采用一或多道蚀刻工艺。在一些实施例中,栅极通孔接点1105所用的开口穿过第二层间介电层1103、接点蚀刻停止层1101、与第一硬遮罩层303。源极/漏极通孔接点1107所用的第一硬遮罩层303与开口穿过第二层间介电层1103与接点蚀刻停止层1101。开口的形成方法可采用可接受的光微影与合适的蚀刻技术的任何组合,且蚀刻技术可为干蚀刻工艺(如等离子体蚀刻、反应性离子蚀刻、或物理蚀刻如离子束蚀刻)、湿蚀刻、上述的组合、或类似技术。然而可采用任何合适的蚀刻工艺形成接点通孔开口。
一旦形成开口,可将一或多种导电材料填入或超填开口,以形成栅极通孔接点1105与源极/漏极通孔接点1107。在一实施例中,可形成衬垫层如扩散阻障层、粘着层、或类似物,以及导电材料于开口中。衬垫层可包含钛、氮化钛、钽、氮化钽、或类似物。导电材料可为铜、铜合金、银、金、钨、钴、铝、镍、或类似物。可进行平坦化工艺如化学机械研磨,以自第二层间介电层1103的表面移除多余材料。保留的衬垫层与导电材料形成栅极通孔接点1105与源极/漏极通孔接点1107于开口中。在实施例中,栅极通孔接点1105物理耦接至第一金属层301,且经由第一金属层301电性耦接至栅极堆叠603的第一栅极堆叠603A。源极/漏极通孔接点1107物理耦接至第三源极/漏极金属隆起物1003C,并经由第三源极/漏极接点堆叠1001C电性耦接至第三源极/漏极区201C。此外,栅极通孔接点1105与源极/漏极通孔接点1107可由不同工艺或相同工艺形成。
在一些实施例中,栅极通孔接点1105的整个第一高度H1可介于约5nm至约45nm之间(比如约30nm),且其侧壁与第一金属层301的第一角度θ1可介于约80°至约150°之间(比如约97°)。栅极通孔接点1105的顶部的第三宽度W3可介于约10nm至约20nm之间(比如约12nm),而底部的第四宽度W4可介于约8nm至约20nm之间(比如约10nm)。然而栅极通孔接点1105可采用任何合适高度、任何合适角度、与任何合适宽度。
在一些实施例中,图11所示的切线3-3’的剖面图中的栅极通孔接点1105具有倾斜侧壁于对应的源极/漏极区201(如201A与201C)的隔离区803之间。此外,一些实施例的隔离区803的高度(如图8的隔离区803的第一厚度Th1)可与对应的源极/漏极金属隆起物1003的高度成正比。如此一来,与隔离区803相关的第一源极/漏极金属隆起物1003A的阶状高度(如图8中隔离区803的第一厚度Th1),以及第一与第二栅极通孔至源极/漏极接点的工艺容许范围的距离,可增加第一栅极通孔至源极/漏极接点的工艺容许范围。
举例来说,对第一源极/漏极接点503A而言,当隔离区803不存在时,第一源极/漏极接点503A将延伸至接点蚀刻停止层1101。如此一来,第一源极/漏极接点503A与栅极通孔接点1105隔有第三距离D3,其可介于约1nm至约10nm之间,比如约5nm。然而通过使第一源极/漏极接点503A凹陷与形成隔离区803,第一源极/漏极接点503A与栅极通孔接点1105相隔的第四距离D4可介于约1nm至约10nm之间,比如约6nm。通过延伸第一源极/漏极接点503A与栅极通孔接点1105之间的距离,可改善整体的工艺容许范围。
此外,一些实施例的源极/漏极通孔接点1107的整体的第二高度H2介于约10nm至约30nm之间(比如约20nm),而倾斜侧壁与用于源极/漏极金属隆起物1003的第三金属层所形成的第二角度θ2介于约80°至约150°之间,比如约107°。源极/漏极通孔接点1107的顶部的第五宽度W5介于约8nm至约20nm之间(比如约14nm),而底部的第六宽度W6介于约8nm至约20nm之间(比如约12nm)。然而源极/漏极通孔接点1107可采用任何合适高度、任何合适角度、与任何合适宽度。
图12显示一些实施例中,半导体装置100的上视图,其具有多条切线(比如与图1及图11相关的切线3-3’),其将搭配后续附图说明如下。图12的上视图亦显示多个栅极通孔接点1105与多个源极/漏极通孔接点1107,其自半导体装置100的第二层间介电层1103的平坦表面露出。为了清楚说明并进一步参考后续附图,混合的源极/漏极接点堆叠1001、源极/漏极金属隆起物1003、与栅极堆叠603的下方结构与其相关位置,亦图示于图12的上视图中。
具体而言,图12所示的切线3-3'由左至右,与第一源极/漏极接点堆叠1001A、栅极通孔接点1105、第一栅极堆叠603A、第二源极/漏极接点堆叠1001B、第二栅极堆叠603B、第三源极/漏极接点堆叠1001C、第三源极/漏极金属隆起物1003C、与源极/漏极通孔接点1107相交。这些结构亦图示于图11中(由左至右)。X切面(如切线A-A、B-B、与C-C)与Y切面与半导体装置100的多种结构相交,其将搭配后续附图详述如下。
图13A是一些实施例中,图12的切线A-A、B-B、与C-C的X切面的多个剖视图。在X切面的切线A-A的剖视图中,栅极通孔接点1105穿过第二层间介电层1103与接点蚀刻停止层1101,并经由第一金属层301物理与电性耦接至鳍状物107中的栅极堆叠603。栅极堆叠603与间隔物113分隔第一源极/漏极接点堆叠1001A的一部分与第二源极/漏极接点堆叠1001B的一部分。如切线A-A的附图所示,第一源极/漏极接点堆叠1001A的部分位于鳍状物107(与相关的源极/漏极区201)以及隔离区803之间,而第二源极/漏极接点堆叠1001B的部分位于鳍状物107(与相关的源极/漏极区201)以及隔离区803之间。
在X切面的切线B-B的剖视图中,源极/漏极通孔接点1107穿过第二层间介电层1103与接点蚀刻停止层1101,并经由第一源极/漏极接点堆叠1001A物理与电性耦接至鳍状物107中的源极/漏极区201。第一源极/漏极接点堆叠1001A包括第一源极/漏极金属隆起物1003A、第一源极/漏极接点503A、与视情况形成的硅化物接点501(若存在)。第一硬遮罩层303的第一部分及栅极堆叠603,与第一硬遮罩层303的第二部分及另一栅极堆叠603隔有第一源极/漏极接点堆叠1001A。栅极堆叠603与第一源极/漏极接点堆叠1001A之间隔有间隔物113。如切线B-B的附图所示,栅极堆叠603与上方的源极/漏极金属隆起物1003所用的第一金属层,位于鳍状物107与第一硬遮罩层303的第一部分之间。如切线B-B的附图所示,栅极堆叠603与用于源极/漏极金属隆起物1003的上方的第一金属层位于鳍状物107以及接点蚀刻停止层1101与第二层间介电层1103之下的第一硬遮罩层303的第二部分之间。
在X切面的切线C-C的剖面中,第二源极/漏极接点堆叠1001B位于接点蚀刻停止层1101与第二层间介电层1103下。第二源极/漏极接点堆叠1001B包括第二源极/漏极金属隆起物1003B、第二源极/漏极接点503B、以及视情况形成的硅化物接点501(若存在)。第一硬遮罩层303的第一部分及栅极堆叠603,与第一硬遮罩层303的第二部分及另一栅极堆叠603隔有第二源极/漏极接点堆叠1001B。第一栅极堆叠603A及第二栅极堆叠603B,与第二源极/漏极接点堆叠1001B隔有间隔物113。如切线C-C的附图所示,栅极堆叠603与上方的用于源极/漏极金属隆起物1003的第一金属层,位于鳍状物107以及接点蚀刻停止层1101与第二层间介电层1103之下的第一硬遮罩层303的第一部分之间。如切线C-C的附图所示,用于源极/漏极金属隆起物1003的上方的第一金属层与栅极堆叠603,位于鳍状物107以及接点蚀刻停止层1101与第二层间介电层1103之下的第一硬遮罩层303的第二部分之间。如此一来,在切线C-C的剖视图中,第二源极/漏极接点堆叠1001B以及栅极堆叠603与第二层间介电层1103的平坦表面之间隔有第二层间介电层1103的介电材料。
图13B是一些实施例中,图12的Y切面的剖视图,其与穿过切线B-B的X切面的一些结构相关。在Y切面的剖视图中,源极/漏极通孔接点1107穿过第二层间介电层1103与接点蚀刻停止层1101,并经由混合的源极/漏极接点堆叠1001物理与电性耦接至鳍状物107中的源极/漏极区201。混合的源极/漏极接点堆叠1001包括源极/漏极金属隆起物1003、源极/漏极接点503、与视情况形成的硅化物接点501(若存在)。隔离区803隔离源极/漏极接点503的部分与接点蚀刻停止层1101。在一些实施例中,源极/漏极接点503的总宽度如第六宽度W6可介于约10nm至约300nm之间(比如约25nm),且总高度如第三高度H3可介于约5nm至约40nm之间(比如约20nm)。
图13亦显示源极/漏极通孔接点1107、源极/漏极金属隆起物1003、与源极/漏极接点503之间的一些界面的一些尺寸。在一些实施例中,源极/漏极通孔接点1107与源极/漏极金属隆起物1003的界面处的源极/漏极通孔接点1107的第七宽度W7可介于约8nm至约20nm之间,比如约12nm。在一些实施例中,源极/漏极金属隆起物1003与接点蚀刻停止层1101的界面处的源极/漏极金属隆起物1003的第八宽度W8介于约10nm至约300nm之间,比如约15nm。源极/漏极金属隆起物1003与源极/漏极接点503的界面处的源极/漏极金属隆起物1003的第九宽度W9介于约10nm至约300nm之间,比如约17nm。如此一来,与单独采用源极/漏极接点503所提供的接触表面积相较,源极/漏极金属隆起物1003与源极/漏极接点503之间的界面可提供较大的接触表面积。
在一些实施例中,源极/漏极通孔接点1107可与源极/漏极金属隆起物1003的材料相同,以提供低电阻界面于源极/漏极通孔接点1107与源极/漏极金属隆起物1003之间。如此一来,源极/漏极金属隆起物1003可提供较低的电阻/电容功率损失于源极/漏极通孔接点1107与源极/漏极接点503之间。如上所述,隔离区803可加大源极/漏极接点503与栅极通孔接点1105之间的工艺容许范围。因此混合的源极/漏极接点堆叠1001可增加效能与良率,隔离区803可加大工艺容许范围,且源极/漏极金属隆起物1003可提供大表面积的接点并与源极/漏极接点503具有低电阻的导电界面。
另一实施例的半导体装置1400如图14的上视图所示,其包括多个等高的源极/漏极接点堆叠(如1501A、1501B、与1501C)。图14包括自半导体装置1400的第二层间介电层1103的平坦表面露出的栅极通孔接点1105与源极/漏极通孔接点1107。为了清楚说明下述附图,图14显示等高的源极/漏极接点堆叠1501、第一源极/漏极接点503A的隆起金属部分(如下详述)、以及栅极堆叠603的下方结构与其相对位置。可比较图12与图14,以说明半导体装置1400的结构。此外,图14引用图12中的X切面(如切线A-A、B-B、与C-C)与Y切面以利比较,且搭配后续附图详述如下。图14及后续附图中与半导体装置100的上述内容中采用相同标号的结构,与图1至图12中的结构相同或类似,因此之后不再重复与图1至图12中的结构相同或类似的结构的相关说明。
图15A与图15B显示图14的X切面(如切线A-A、B-B、与C-C)与Y切面的剖视图。相较之下,未形成图12的源极/漏极金属隆起物1003如1003A、1003B、与1003C,但置换为源极/漏极接点503如503A、503B、与503C的隆起金属部分。在一些实施例中,可沉积接点蚀刻停止层1101与第二层间介电层1103于图8所示的结构上,而不形成第二凹陷903于其余的第二金属层的一或多个源极/漏极接点503中(如图9所示),且不形成源极/漏极金属隆起物1003如1003A、1003B、与1003C于第二凹陷903中(如图10所示),以形成具有隆起的金属部分的源极/漏极接点503。如此一来,源极/漏极通孔接点1107可穿过第二层间介电层1103与接点蚀刻停止层1101(如搭配图11说明的上述内容),且可形成于源极/漏极接点503上并与其物理接触(不具有源极/漏极金属隆起物1003形成于两者之间)。
在图15A中的X切面的切线A-A的剖视图中,具有栅极通孔接点1105、栅极堆叠603、以及分隔第一等高的源极/漏极接点堆叠1501A(见切线B-B)与第二等高的源极/漏极接点堆叠1051B(见切线C-C)的间隔物113。如切线A-A的附图所示,第一等高的源极/漏极接点堆叠1501A位于鳍状物107(与相关的源极/漏极区201)与隔离区803之间,且第二等高的源极/漏极接点堆叠1501B位于鳍状物107(与相关的源极/漏极区201)与隔离区803之间。在图15A的X切面的切线B-B的剖视图中,源极/漏极通孔接点1107穿过第二层间介电层1103与接点蚀刻停止层1101,并经由第一等高的源极/漏极接点堆叠1501A物理与电性耦接至鳍状物107中的源极/漏极区201。第一等高的源极/漏极接点堆叠1501A包括具有隆起的金属部分的第一源极/漏极接点503A,以及视情况形成的硅化物接点501的第一部分(若存在)。第一等高的源极/漏极接点堆叠1501A分开第一硬遮罩层303的第一部分与第二部分并分开栅极堆叠603,而间隔物113隔离栅极堆叠603与第一等高的源极/漏极接点堆叠1501A。
在图15的X切面的切线C-C的剖视图中,第二等高的源极/漏极接点堆叠1501B位于接点蚀刻停止层1101与第二层间介电层1103下。第二等高的源极/漏极接点堆叠1501B包括具有隆起金属部分的第二源极/漏极接点503B,并包含视情况形成的硅化物接点501的第二部分(若存在)。第二等高的源极/漏极接点堆叠1501B使第一硬遮罩层303的第一部分与第二部分彼此分开并使栅极堆叠603彼此分开,且间隔物113隔离栅极堆叠603与第二等高的源极/漏极接点堆叠1501B。
图15B是一些实施例中的图14的Y切面的剖视图,且与穿过图14的切线B-B的X切面的一些结构相关。在Y切面的剖视图中,源极/漏极通孔接点1107穿过第二层间介电层1103与接点蚀刻停止层1101,必经由等高的源极/漏极接点堆叠1501物理与电性耦接至鳍状物107中的源极/漏极区201。等高的源极/漏极接点堆叠1501包括具有隆起金属部分的源极/漏极接点503,以及视情况形成的硅化物接点501(若存在)。图15B亦显示源极/漏极通孔接点1107物理接触与电性耦接至源极/漏极接点503的隆起金属部分,两者之间无源极/漏极金属隆起物1003。如此一来,源极/漏极通孔接点1107可单独提供表面区域接点至等高的源极/漏极接点堆叠1501的界面。
图15B亦显示隔离接点蚀刻停止层1101与源极/漏极接点503的部分的隔离区803,以及与接点蚀刻停止层1101和隔离区803的侧壁之间具有界面的源极/漏极接点503的隆起金属部分。在一些实施例中,等高的源极/漏极接点堆叠1501的源极/漏极接点503的隆起金属部分,可与前述混合的源极/漏极接点堆叠1001的源极/漏极金属隆起物1003具有类似尺寸。等高的源极/漏极接点堆叠1501的源极/漏极接点503的保留部分,可与图13B所示的混合的源极/漏极接点堆叠1001的源极/漏极接点503具有相同或类似尺寸。然而亦可采用其他合适尺寸。如此一来,等高的源极/漏极接点堆叠1501可提供源极/漏极接点503所用的完整轮廓并增加良率,且隔离区803可加大源极/漏极接点503与栅极通孔接点1105之间的工艺容许范围。
在一些实施例中,源极/漏极通孔接点1107的材料可与源极/漏极接点503的材料相同,以提供低电阻界面于源极/漏极通孔接点1107与源极/漏极接点503之间。如此一来,相同材料的源极/漏极接点通孔1107与源极/漏极接点503之间的电阻/电容功率损失,小于不同材料的源极/漏极接点通孔1107与源极/漏极接点503之间的电阻/电容功率损失。如上所述,隔离区803可加大源极/漏极接点503与栅极通孔接点1105之间的工艺容许范围。因此等高的源极/漏极接点堆叠1501可增加效能与良率,隔离区803可在源极/漏极接点503与栅极通孔接点1105之间加大工艺容许范围,且源极/漏极通孔接点1107与源极/漏极接点503可具有低电阻的导电界面。
图16A与图16B显示一些其他实施例中的半导体装置1600,其包含混合的源极/漏极接点堆叠1001,而不具有上述附图所示的隔离区803。图16A与图16B中的X切面(如切线A-A、B-B、与C-C)与Y切面的剖视图,将搭配图12的上视图与图13A及图13B的X切面与Y切面说明。相较之下,图16A与图16B的X切面与Y切面中省略了图13A与图13B的隔离区803。此外,接点蚀刻停止层1101与第一硬遮罩层303、混合的源极/漏极接点堆叠1001、与源极/漏极接点503的表面共形。
在一些实施例中,沉积接点蚀刻停止层1101与第二层间介电层1103于图10所示的结构上,而不沉积隔离区803的第二硬遮罩材料于形成隔离区803所用的第一凹陷703中(如图7所示),可使接点蚀刻停止层1101与上述表面共形,如图10与图11所示。与图11相较,接点蚀刻停止层1101与第二层间介电层1103形成于第一凹陷703(见图8)中,并与第一硬遮罩层303的平坦表面、第一硬遮罩层303的侧壁、及第一凹陷703中的第一源极/漏极接点503A与第二源极/漏极接点503B的凹陷表面共形,而非形成于隔离区803的平坦表面上。如此一来,接点蚀刻停止层1101与第二层间介电层1103的顺应层,可维持第一源极/漏极接点503A与栅极通孔接点1105之间加大的工艺容许范围(如搭配图11说明的上述内容),而不需隔离区803存在。
在图16A的X切面的切线A-A的剖视图中,具有栅极通孔接点1105、栅极堆叠603、与分隔切线B-B的第一源极/漏极接点堆叠1001A与切线C-C的第二源极/漏极接点堆叠1001B的间隔物113。如切线A-A的附图所示,第一源极/漏极接点堆叠1001A位于鳍状物107与接点蚀刻停止层1101之间,且第二源极/漏极接点堆叠1001B位于鳍状物107与接点蚀刻停止层1101之间。在图16A的X切面的切线B-B的剖视图中,源极/漏极通孔接点1107穿过第二层间介电层1103与接点蚀刻停止层1101,并经由第一源极/漏极接点堆叠1001A物理与电性耦接至鳍状物107的源极/漏极区201。第一源极/漏极接点堆叠1001A包括第一源极/漏极金属隆起物1003A、第一源极/漏极接点503A、与视情况形成的硅化物接点501的第一部分(若存在)。第一源极/漏极接点堆叠1001A分隔第一硬遮罩层303的部分并分隔栅极堆叠603。间隔物113隔离栅极堆叠603与第一源极/漏极接点堆叠1001A。
在图16A的X切面的切线C-C的剖视图中,第二源极/漏极接点堆叠1001B位于接点蚀刻停止层1101与第二层间介电层1103下。第二源极/漏极接点堆叠1001B包括第二源极/漏极金属隆起物1003B、第二源极/漏极接点503B、与视情况形成的硅化物接点501的第二部分(若存在)。第二源极/漏极接点堆叠1001B使第一硬遮罩层303的第一部分与第二部分彼此分开并使栅极堆叠603彼此分开,而间隔物113隔开栅极堆叠603与第二源极/漏极接点堆叠1001B。
图16B是一些实施例中,半导体装置1600的剖视图,其含有混合的源极/漏极接点堆叠1001而不含有上述附图所示的隔离区803。在Y切面的剖视图中,源极/漏极通孔接点1107穿过第二层间介电层1103与接点蚀刻停止层1101,并经由混合的源极/漏极接点堆叠1001物理与电性耦接至鳍状物107中的源极/漏极区201。混合的源极/漏极接点堆叠1001包括源极/漏极金属隆起物1003、源极/漏极接点503、以及视情况形成的硅化物接点501(若存在)。图16B的源极/漏极金属隆起物1003可与图13B的源极/漏极金属隆起物1003具有相同或类似的尺寸,且可与源极/漏极通孔接点1107的材料(如钨)相同,以提供电阻/电容功率损失较少的接点界面。然而可采用任何合适的尺寸与任何合适的材料。如此一来,图16B所示的源极/漏极金属隆起物1003可提供表面区域接点较大的低电阻导电界面至源极/漏极接点503,如上所述。此外,顺应性的接点蚀刻停止层1101与第二层间介电层1103可维持源极/漏极接点503与栅极通孔接点1105之间增加的工艺容许范围,即使不存在隔离区803。如此一来,图16B中混合的源极/漏极接点堆叠1001可加大工艺容许范围以增加效能与产率。
此处所述的实施例关于鳍状场效晶体管装置,其源极/漏极通孔与源极/漏极接点之间的界面电阻/电容降低,且栅极通孔与源极/漏极接点之间的工艺容许范围加大。在一些实施例中,形成于源极/漏极接点上的金属隆起物可提供较大的表面接点面积(与源极/漏极接点通孔与金属隆起物之间的界面表面积相较)。在一些实施例中,源极/漏极接点通孔与金属隆起物的组成为相同材料。如此一来,鳍状场效晶体管在金属隆起物与一或多个源极/漏极接点通孔与源极/漏极接点之间的界面可降低电阻/电容功率损失。在一些实施例中,形成于鳍状场效晶体管装置的源极/漏极接点的一部分中的凹陷,可增加鳍状场效晶体管的凹陷与栅极接点之间的工艺容许范围。在一些实施例中,将隔离材料填入源极/漏极接点上的凹陷。在一些实施例中,鳍状场效晶体管的接点蚀刻停止层的顺应层形成于源极/漏极接点上,并沿着凹陷的侧壁。如此一来,在鳍状场效晶体管装置的栅极通孔与源极/漏极接点之间,具有增进的工艺容许范围
在一实施例中,半导体装置的形成方法包括:使源极/漏极接点的第一部分凹陷,以形成凹陷于鳍状场效晶体管装置的第一介电层中,且源极/漏极接点由第一金属材料形成;沉积第二金属材料以形成金属隆起物于凹陷中,金属隆起物物理接触源极/漏极接点,第二金属材料与第一金属材料不同,且金属隆起物与源极/漏极接点之间的界面的第一宽度小于源极/漏极接点的宽度;沉积第二介电层于金属隆起物上;蚀刻开口穿过第二介电层并自第二介电层露出金属隆起物;以及沉积第三金属材料于穿过第二介电层的开口中,第三金属材料物理接触金属隆起物以形成源极/漏极接点通孔,且源极/漏极接点通孔与金属隆起物之间的界面的第二宽度小于第一宽度。在一实施例中,上述方法还包括:在沉积第二介电层之前,使鳍状场效晶体管装置的源极/漏极接点的第二部分凹陷。在一实施例中,上述方法还包括沉积蚀刻停止层于源极/漏极接点的第二部分的凹陷中,以物理接触源极/漏极接点。在一实施例中,沉积蚀刻停止层的步骤包括使蚀刻停止层的侧壁与金属隆起物的侧壁之间具有界面。在一实施例中,上述方法还包括沉积顺应的接点蚀刻停止层于金属隆起物及源极/漏极接点上,并物理接触金属隆起物及源极/漏极接点。在一实施例中,沉积第二金属材料以形成金属隆起物的步骤包括采用导电填充材料,且沉积第三金属材料以形成源极/漏极接点通孔的步骤包括采用导电填充材料。在一实施例中,采用的导电填充材料包括钨的填充材料。
在另一实施例中,半导体装置的形成方法包括:形成第一源极/漏极区与第二源极/漏极区于半导体装置的鳍状物中;沉积第一介电层于鳍状物上;在沉积第一介电层之后形成栅极堆叠;沉积硬遮罩层于栅极堆叠上;形成第一源极/漏极接点至第一源极/漏极区,并形成第二源极/漏极接点至第二源极/漏极区;使第一源极/漏极接点的一部分凹陷并形成第一凹陷;将第一介电材料填入第一凹陷,且第一介电材料与硬遮罩层的材料组成不同;形成栅极接点通孔至栅极堆叠,第一介电材料与栅极接点通孔隔有第一距离,沿着凹陷顶部的第一源极/漏极接点的表面与栅极接点通孔隔有第二距离,第二距离大于第一距离,且第一距离的方向平行于第二距离的方向;以及形成源极/漏极接点通孔于鳍状物上的第二源极/漏极接点的一部分上并电性耦接至鳍状物上的第二源极/漏极接点的一部分,其中源极/漏极接点通孔与第二源极/漏极接点的材料不同。在一实施例中,上述方法还包括平坦化第一介电材料与硬遮罩层。在一实施例中,将第一介电材料填入第一凹陷的步骤还包括:顺应性地沉积接点蚀刻停止层于硬遮罩层的平坦表面、第一凹陷的侧壁、与第一源极/漏极接点的露出部分上,且沉积于第一凹陷侧壁上的接点蚀刻停止层以及与栅极接点通孔侧壁对向的硬遮罩层之间具有界面;以及沉积第二介电层于接点蚀刻停止层上。在一实施例中,上述方法还包括:在形成源极/漏极接点通孔之前,蚀刻第二源极/漏极接点的一部分以形成第二凹陷;以及形成金属隆起物于第二源极/漏极接点上并物理接触第二源极/漏极接点。在一实施例中,金属隆起物与第二源极/漏极接点之间的界面的第一宽度小于源极/漏极接点的宽度。在一实施例中,源极/漏极接点通孔与金属隆起物之间的界面的第二宽度小于第一宽度。在一实施例中,源极/漏极接点通孔包括钨。在一实施例中,第二源极/漏极接点包括钴。
在又一实施例中,半导体装置包括:源极/漏极接点,位于半导体基板的鳍状物的源极/漏极区上并电性耦接至源极/漏极区,且源极/漏极接点包括第一金属;金属隆起物,位于源极/漏极接点上并物理接触源极/漏极接点,金属隆起物包括第二金属,且第二金属与第一金属不同;以及源极/漏极通孔,位于金属隆起物上并物理接触金属隆起物,且源极/漏极通孔包括第二金属,其中金属隆起物与源极/漏极接点之间的界面大于源极/漏极通孔与金属隆起物之间的界面。在一实施例中,半导体装置还包括蚀刻停止层位于源极/漏极接点上,其中蚀刻停止层的侧壁与金属隆起物的侧壁之间具有界面。在一实施例中,第二金属包括钨。在一实施例中,第一金属包括钴。在一实施例中,半导体装置还包括:隔离区,包括第一介电材料位于源极/漏极接点上,其中隔离区的侧壁与金属隆起物的侧壁之间具有界面;栅极堆叠,位于鳍状物的通道区上;栅极通孔,位于栅极堆叠上并电性耦接至栅极堆叠;以及硬遮罩层,包括第二介电材料并分隔栅极通孔与隔离区且分隔栅极通孔与金属隆起物,而第二介电材料与第一介电材料不同。
上述实施例的特征有利于本技术领域中技术人员理解本发明实施例。本技术领域中技术人员应理解可采用本发明作基础,设计并变化其他工艺与结构以完成上述实施例的相同目的及/或相同优点。本技术领域中技术人员亦应理解,这些等效置换并未脱离本发明构思与范围,并可在未脱离本发明的构思与范围的前提下进行改变、替换、或变动。

Claims (1)

1.一种半导体装置的形成方法,包括:
使一源极/漏极接点的一第一部分凹陷,以形成一凹陷于一鳍状场效晶体管装置的一第一介电层中,且该源极/漏极接点由一第一金属材料形成;
沉积一第二金属材料以形成一金属隆起物于该凹陷中,该金属隆起物物理接触该源极/漏极接点,该第二金属材料与该第一金属材料不同,且该金属隆起物与该源极/漏极接点之间的一界面的第一宽度小于该源极/漏极接点的宽度;
沉积一第二介电层于该金属隆起物上;
蚀刻一开口穿过该第二介电层并自该第二介电层露出该金属隆起物;以及
沉积一第三金属材料于穿过该第二介电层的该开口中,该第三金属材料物理接触该金属隆起物以形成一源极/漏极接点通孔,且该源极/漏极接点通孔与该金属隆起物之间的界面的第二宽度小于该第一宽度。
CN202010151584.8A 2019-05-17 2020-03-06 半导体装置的形成方法 Pending CN111952369A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/415,909 2019-05-17
US16/415,909 US11069784B2 (en) 2019-05-17 2019-05-17 Semiconductor device and method of manufacture

Publications (1)

Publication Number Publication Date
CN111952369A true CN111952369A (zh) 2020-11-17

Family

ID=73230822

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010151584.8A Pending CN111952369A (zh) 2019-05-17 2020-03-06 半导体装置的形成方法

Country Status (3)

Country Link
US (2) US11069784B2 (zh)
CN (1) CN111952369A (zh)
TW (1) TWI834830B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210391464A1 (en) * 2020-06-10 2021-12-16 Samsung Electronics Co., Ltd. Integrated circuit device

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11069784B2 (en) * 2019-05-17 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US20210036120A1 (en) * 2019-07-30 2021-02-04 Qualcomm Incorporated Finfet semiconductor device
KR20210033096A (ko) * 2019-09-17 2021-03-26 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조방법
JP7385540B2 (ja) * 2020-09-03 2023-11-22 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR20220033624A (ko) * 2020-09-09 2022-03-17 삼성전자주식회사 반도체 장치 및 그 제조 방법
US20230095402A1 (en) * 2021-09-24 2023-03-30 Intel Corporation Contact over active gate structures with conductive trench contact taps for advanced integrated circuit structure fabrication
US20230110825A1 (en) * 2021-09-27 2023-04-13 International Business Machines Corporation Electrostatic discharge diode having dielectric isolation layer
KR20230111903A (ko) * 2022-01-19 2023-07-26 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US20230282575A1 (en) * 2022-03-03 2023-09-07 Intel Corporation Self-aligned interconnect features for transistor contacts

Family Cites Families (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6180430B1 (en) * 1999-12-13 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Methods to reduce light leakage in LCD-on-silicon devices
US6706594B2 (en) * 2001-07-13 2004-03-16 Micron Technology, Inc. Optimized flash memory cell
US7671355B2 (en) * 2008-03-24 2010-03-02 United Microelectronics Corp. Method of fabricating a phase change memory and phase change memory
JP5434360B2 (ja) * 2009-08-20 2014-03-05 ソニー株式会社 半導体装置及びその製造方法
US8877614B2 (en) * 2011-10-13 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer for semiconductor structure contact
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9449971B2 (en) * 2014-12-01 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming FinFETs
US9508718B2 (en) * 2014-12-29 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET contact structure and method for forming the same
US9601617B2 (en) * 2015-01-23 2017-03-21 Qualcomm Incorporated Fabrication of a transistor including a tunneling layer
TWI650804B (zh) * 2015-08-03 2019-02-11 聯華電子股份有限公司 半導體元件及其製作方法
US9905671B2 (en) * 2015-08-19 2018-02-27 International Business Machines Corporation Forming a gate contact in the active area
KR102467848B1 (ko) * 2015-10-12 2022-11-16 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10153351B2 (en) * 2016-01-29 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9721645B1 (en) * 2016-01-29 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM arrays and methods of manufacturing same
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US9893062B2 (en) * 2016-04-28 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10109507B2 (en) * 2016-06-01 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Fluorine contamination control in semiconductor manufacturing process
US10083871B2 (en) * 2016-06-09 2018-09-25 International Business Machines Corporation Fabrication of a vertical transistor with self-aligned bottom source/drain
US9620628B1 (en) * 2016-07-07 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming contact feature
US10121873B2 (en) * 2016-07-29 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate and contact plug design and method forming same
US10157918B2 (en) * 2016-08-03 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10164111B2 (en) * 2016-08-03 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US10461086B2 (en) * 2016-10-31 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Memory cell structure
US10510598B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US10522359B2 (en) * 2016-11-29 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming
US10153203B2 (en) * 2016-11-29 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming metal layers in openings and apparatus for forming same
US10008416B2 (en) * 2016-11-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Forming a protective layer to prevent formation of leakage paths
KR102582671B1 (ko) * 2016-12-22 2023-09-25 삼성전자주식회사 반도체 소자
KR102292645B1 (ko) * 2017-03-09 2021-08-24 삼성전자주식회사 집적회로 소자
US10153198B2 (en) * 2017-04-07 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Low-resistance contact plugs and method forming same
US10269621B2 (en) * 2017-04-18 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods forming same
US10062784B1 (en) * 2017-04-20 2018-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned gate hard mask and method forming same
US10141225B2 (en) * 2017-04-28 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gates of transistors having reduced resistivity
US10297602B2 (en) * 2017-05-18 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Implantations for forming source/drain regions of different transistors
US10424663B2 (en) * 2017-05-23 2019-09-24 International Business Machines Corporation Super long channel device within VFET architecture
US10083863B1 (en) * 2017-05-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device
US10679891B2 (en) * 2017-06-30 2020-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming interconnect structures using a vacuum environment
US10629496B2 (en) * 2017-07-31 2020-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming transistor gates with hafnium oxide layers and lanthanum oxide layers
US10446555B2 (en) * 2017-08-31 2019-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Buried metal track and methods forming same
US10490650B2 (en) * 2017-11-14 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k gate spacer and methods for forming the same
US10629693B2 (en) * 2017-11-17 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with barrier layer and method for forming the same
US10714475B2 (en) * 2017-11-27 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10297749B1 (en) * 2017-12-12 2019-05-21 International Business Machines Corporation High density resistive random access memory integrated on complementary metal oxide semiconductor
US10411114B2 (en) * 2017-12-21 2019-09-10 International Business Machines Corporation Air gap spacer with wrap-around etch stop layer under gate spacer
US10211092B1 (en) * 2018-01-28 2019-02-19 International Business Machines Corporation Transistor with robust air spacer
US10354987B1 (en) * 2018-03-22 2019-07-16 Sandisk Technologies Llc Three-dimensional memory device containing bonded chip assembly with through-substrate via structures and method of making the same
US10354980B1 (en) * 2018-03-22 2019-07-16 Sandisk Technologies Llc Three-dimensional memory device containing bonded chip assembly with through-substrate via structures and method of making the same
US10522649B2 (en) * 2018-04-27 2019-12-31 International Business Machines Corporation Inverse T-shaped contact structures having air gap spacers
US10483396B1 (en) * 2018-06-11 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Interfacial layer between fin and source/drain region
US10665506B2 (en) * 2018-06-27 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced via bridging risk
US10872892B2 (en) * 2018-06-29 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10818545B2 (en) * 2018-06-29 2020-10-27 Sandisk Technologies Llc Contact via structure including a barrier metal disc for low resistance contact and methods of making the same
US10840189B2 (en) * 2018-07-30 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit devices having raised via contacts and methods of fabricating the same
US10658237B2 (en) * 2018-07-31 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices
US11600530B2 (en) * 2018-07-31 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10886226B2 (en) * 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
US10868184B2 (en) * 2018-07-31 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with hard mask layer over gate structure and method for forming the same
US10763208B2 (en) * 2018-08-13 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10693004B2 (en) * 2018-08-14 2020-06-23 Taiwan Semiconductor Manufactruing Co., Ltd. Via structure with low resistivity and method for forming the same
US11011636B2 (en) * 2018-09-27 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with hard mask layer over gate structure and method for forming the same
US10916477B2 (en) * 2018-09-28 2021-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor devices and methods of forming the same
US11139203B2 (en) * 2018-10-22 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Using mask layers to facilitate the formation of self-aligned contacts and vias
US10734447B2 (en) * 2018-10-22 2020-08-04 International Business Machines Corporation Field-effect transistor unit cells for neural networks with differential weights
US10825721B2 (en) * 2018-10-23 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Insulating cap on contact structure and method for forming the same
US10950729B2 (en) * 2018-10-26 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure with insulating cap
US10943983B2 (en) * 2018-10-29 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits having protruding interconnect conductors
US11227830B2 (en) * 2018-10-31 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive features having varying resistance
US11296077B2 (en) * 2018-11-19 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with recessed silicon cap and method forming same
US11107690B2 (en) * 2018-11-30 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US10879400B2 (en) * 2018-12-24 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Field effect transistor and method of manufacturing the same
US10707413B1 (en) * 2019-03-28 2020-07-07 International Business Machines Corporation Formation of embedded magnetic random-access memory devices
US11101353B2 (en) * 2019-04-17 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11410880B2 (en) * 2019-04-23 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Phase control in contact formation
US11232943B2 (en) * 2019-04-24 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for semiconductor interconnect
US11289578B2 (en) * 2019-04-30 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching to increase threshold voltage spread
US11069784B2 (en) * 2019-05-17 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11152486B2 (en) * 2019-07-15 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET semiconductor device having source/drain contact(s) separated by airgap spacer(s) from the gate stack(s) to reduce parasitic capacitance
US11329139B2 (en) * 2019-07-17 2022-05-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device with reduced trap defect and method of forming the same
US11239114B2 (en) * 2019-09-16 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced contact resistance and methods of forming the same
US11784218B2 (en) * 2021-01-08 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Gate air spacer protection during source/drain via hole etching
US11587872B2 (en) * 2021-02-12 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for improving memory performance and/or logic performance

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210391464A1 (en) * 2020-06-10 2021-12-16 Samsung Electronics Co., Ltd. Integrated circuit device
US11575044B2 (en) * 2020-06-10 2023-02-07 Samsung Electronics Co., Ltd. Integrated circuit device

Also Published As

Publication number Publication date
TW202109673A (zh) 2021-03-01
US20210351273A1 (en) 2021-11-11
US11069784B2 (en) 2021-07-20
US20200365698A1 (en) 2020-11-19
TWI834830B (zh) 2024-03-11

Similar Documents

Publication Publication Date Title
CN111952369A (zh) 半导体装置的形成方法
US11735430B2 (en) Fin field-effect transistor device and method
CN108122845B (zh) 接触结构制造方法及半导体装置
KR102042729B1 (ko) 반도체 디바이스 및 방법
CN107689376B (zh) 半导体器件和方法
CN110957259A (zh) 半导体装置的形成方法
CN110875253A (zh) 半导体装置的形成方法
CN111129148A (zh) 半导体装置的形成方法
US11810826B2 (en) Semiconductor devices with stacked silicide regions
CN110875188A (zh) 半导体装置的形成方法
CN112563329A (zh) 半导体装置
CN111128886A (zh) 半导体装置的形成方法
CN112563243A (zh) 半导体装置
CN112750775A (zh) 半导体装置的形成方法
CN114078846A (zh) 半导体器件的接触插塞结构及其形成方法
CN113644120A (zh) 半导体装置的形成方法
US20230290687A1 (en) Nanostructure field-effect transistor device and method of forming
TWI806726B (zh) 半導體裝置和其製造方法
US20220376087A1 (en) Laterally etched spacers for semiconductor device
CN113161353A (zh) 半导体装置
CN113161287A (zh) 互连结构及其形成方法
TWI821698B (zh) 半導體元件及其製造方法
US20230268426A1 (en) Dummy fin structures and methods of forming same
US20230045665A1 (en) Nanostructure Field-Effect Transistor Device and Method of Forming
US20230268225A1 (en) Semiconductor device and method of forming the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination