CN111133558B - 用钴填充基板特征的方法与设备 - Google Patents

用钴填充基板特征的方法与设备 Download PDF

Info

Publication number
CN111133558B
CN111133558B CN201880061451.2A CN201880061451A CN111133558B CN 111133558 B CN111133558 B CN 111133558B CN 201880061451 A CN201880061451 A CN 201880061451A CN 111133558 B CN111133558 B CN 111133558B
Authority
CN
China
Prior art keywords
cobalt
substrate
feature
layer
features
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880061451.2A
Other languages
English (en)
Other versions
CN111133558A (zh
Inventor
侯文婷
雷建新
李靖珠
陶荣
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN111133558A publication Critical patent/CN111133558A/zh
Application granted granted Critical
Publication of CN111133558B publication Critical patent/CN111133558B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02697Forming conducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thermal Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemically Coating (AREA)

Abstract

于此提供了用于用钴填充特征的方法和设备。在一些实施方式中,一种用于处理基板的方法包括:经由化学气相沉积(CVD)工艺在基板的顶上和设置在基板中的特征内沉积第一钴层;及通过在具有钴靶材的物理气相沉积(PVD)腔室中执行等离子体处理以将第一钴层的一部分回流到特征中而至少部分地用钴或含钴材料填充特征。PVD腔室可被构造成从设置在PVD腔室中的钴靶材同步地沉积钴或含钴材料在特征内。

Description

用钴填充基板特征的方法与设备
技术领域
本公开内容的实施方式一般地涉及半导体制造工艺的领域,尤其是,涉及用于在半导体基板的特征中沉积含钴层的方法。
背景技术
钴是用于在10/7nm节点中的接点和BEOL(线路的后端)互连件填充应用的新材料解决方案的一个候选者。钨(W)接点包括钛(Ti)/氮化钛(TiN)阻挡层,发明人已经观察到此是有问题的,因为Ti/TiN阻挡层增加了界面电阻并限制了特征(例如,互连件)的向下缩放。另外,发明人已经观察到当阻挡层/衬里经由电阻缩放而增加界面电阻及负面影响时,铜(Cu)通孔是有问题的。
此外,发明人已经观察到通过化学气相沉积(CVD)的共形钴填充通常不期望地导致嵌入到特征中的空隙,并形成微空隙。即使使用侵蚀性退火工艺(例如,更高的温度和更长的退火时间),微孔隙也难以移除并且可能不期望地保留在特征中。此外,BEOL工艺包括有限的退火温度以保护基板上的介电材料。
因此,发明人提供了一种用钴填充基板特征的改进方法。
发明内容
于此提供了用于用钴填充特征的方法和设备。在一些实施方式中,一种用于处理基板的方法包括:经由化学气相沉积(CVD)工艺在基板的顶上和设置在基板中的特征内沉积第一钴层;及通过在具有钴靶材的物理气相沉积(PVD)腔室中执行等离子体处理以将第一钴层的一部分回流到特征中而至少部分地用钴填充特征。在实施方式中,在物理气相沉积(PVD)腔室中执行等离子体处理以将第一钴层的一部分回流到特征中包括从设置在PVD腔室中的钴靶材同步地沉积钴在特征内。
任选地,实施方式可包括在沉积第一钴层之前在特征内沉积底层;及将第一钴层直接沉积在底层的顶上。
在一些实施方式中,一种用于处理基板的方法包括:在设置在基板中的特征内沉积底层;经由化学气相沉积(CVD)工艺在基板的顶上并直接在底层的顶上沉积第一钴层;通过在物理气相沉积(PVD)腔室中执行等离子体处理以将第一钴层的一部分回流到特征中而用钴部分地填充特征;及经由CVD工艺沉积第二钴层以完全填充特征。在实施方式中,在物理气相沉积(PVD)腔室中执行等离子体处理以将第一钴层的一部分回流到特征中包括从设置在PVD腔室中的钴靶材同步地沉积钴在特征内。
在一些实施方式中,一种用于在基板上膜沉积的设备包括:中央真空传送腔室;化学气相沉积(CVD)处理腔室,被构造成沉积氮化钛并耦接到中央真空传送腔室;化学气相沉积(CVD)处理腔室,被构造成沉积钴并耦接到中央真空传送腔室;及物理气相沉积(PVD)腔室,被构造成沉积钴并耦接到中央真空传送腔室。在实施方式中,PVD腔室被构造成在物理气相沉积(PVD)腔室中执行等离子体处理,以将第一钴层的一部分回流到特征中,同时从设置在PVD腔室中的钴靶材同步地沉积钴在特征内。
以下描述本公开内容的其他和进一步的实施方式。
附图说明
通过参考所附图式中描绘的本公开内容的说明性实施方式,可理解以上简要概述并在下面更详细论述的本公开内容的实施方式。然而,所附图式仅图示了本公开内容的典型实施方式,且因此不应视为对范围的限制,因为本公开内容可允许其他等同有效的实施方式。
图1描绘了根据本公开内容的实施方式的用于在半导体器件的特征中沉积钴金属的方法的流程图。
图2A至图2F分别描绘了根据本公开内容的图1的实施方式的在半导体器件的特征中沉积金属的制造的数个阶段。
图3描绘了根据本公开内容的一些实施方式的适合于执行用于处理基板的方法的群集工具。
为促进理解,尽可能地,使用相同的附图标号来标示图式中共通的相同元件。图式未按比例绘制,且为了清楚起见可简化。一个实施方式的元件和特征在没有进一步描述下可有利地并入其他实施方式中。
具体实施方式
本公开内容的实施方式提供了用于处理基板的方法,方法提供在处理包括一个或多个金属填充特征的基板时改进的特征填充。
发明人已经观察到,经由根据本公开内容的金属填充工艺,沉积在特征内的钴有利地显示更大的晶粒、更低的电阻率和更好的粗糙度。此外,与用于接点应用的钨相比,根据本公开内容的钴(Co)填充产生的金属线电阻低约5至约8倍,并且与用于7nm节点处的互连件应用的铜填充相比,通孔电阻降低>45%。根据本公开内容,CVD工艺与PVD工艺相结合,以产生高质量的金属填充特征。在实施方式中,使用CVD工艺将钴沉积在基板上的至少一个特征中,并接着移动到PVD腔室,在PVD腔室中执行处理以增加钴的密度和纯度,同时降低钴的电阻率。在实施方式中,PVD工艺是在加热环境中执行的PVD工艺,如下面更详细描述的。可在处理之间有或没有真空中断的情况下执行工艺。
图1是根据本公开内容的一些实施方式的用于处理基板的方法100的流程图。方法100相关于如图2A图至图2F所示的处理基板的数个阶段而描述于下,并且可在例如是合适的群集工具和处理腔室中执行,诸如关于图3而描述于下。可用以执行于此所公开的方法的示例性处理系统可包括但不限于可从加州圣克拉拉市的应用材料公司商购获得的或/>品牌处理系统的任一者。其他处理腔室,包括可从其他制造商获得的处理腔室,也可适当地与于此提供的教示结合使用。
方法100通常在提供给处理腔室的处理空间的基板200上执行,例如,关于图3而描述于下的基板处理腔室314和基板处理腔室338。在一些实施方式中,如图2A所示,基板200包括待填充的一个或多个特征202(图2A至图2F中所示的一个),形成在基板200的层212中,并朝向基板200的基部204延伸。尽管以下描述是关于一个特征202而作出,基板200可包括任何数量的特征202(诸如通孔、沟槽或类似物)。
基板200可为具有在基板200或层212中形成的特征202的任何合适的基板。例如,基板200可包括硅(Si)、氧化硅(SiO2)或类似材料的一或多种。在实施方式中,基板200可包括在介电层中形成的特征202。例如,低k材料(例如,具有介电常数小于氧化硅或小于约3.9的材料)或类似材料。在一些实施方式中,层212可设置在第二介电层(未示出)的顶上,诸如氧化硅、氮化硅、碳化硅或类似材料。
另外,基板200可包括额外的材料层,或可具有形成在基板200中或基板200上的一个或多个完成的或部分完成的结构或器件。在一些实施方式中,层216,诸如逻辑器件或类似器件,或需要电连接的器件的一部分,诸如栅极、接触垫、钴垫、导电线或通孔或类似部分,可设置在基板200的基部204中并与特征202对准。例如,特征202可用导电材料填充,以形成到层216的导电通路。如于此所使用的,层216不需要是在基板的整个表面上延伸的连续结构,但是可为较小的部件,诸如器件、部分器件、导电通路或类似部件。
在实施方式中,基板200可为例如是掺杂或未掺杂的硅基板、III-V化合物基板、硅锗(SiGe)基板、外延基板、绝缘体上硅(SOI)基板、显示基板、太阳能电池阵列、太阳能电池板或类似者基板,显示基板诸如液晶显示器(LCD)、等离子体显示器、电致发光(EL)灯显示器、发光二极管(LED)基板。在一些实施方式中,基板200可为半导体晶片。
基板200不限于任何特定尺寸或形状。基板可为具有200mm直径、300mm直径或其他直径的圆形晶片,诸如,450mm等的圆形晶片。基板也可为任何多边形、正方形、矩形、弯曲或其他非圆形工件,诸如在平板显示器的制造中所使用的多边形玻璃基板。
可通过使用任何合适的蚀刻工艺来蚀刻基板200而形成特征202。在一些实施方式中,特征202由一个或多个侧壁214、底表面206和上边角220而界定。在一些实施方式中,特征202可为通孔、沟槽、双镶嵌或类似物。在一些实施方式中,特征202可具有高深宽比,例如,在约5:1和约15:1之间的深宽比。如于此所用的,深宽比是特征的深度与特征的宽度的比率。在实施方式中,特征202具有小于或等于15nm的宽度。
参考图1(以虚线图示的106)和图2A至图2F,底层207(以虚线图示)可任选地沉积在被构造成沉积层的处理腔室(如,下面论述的基板处理腔室312、314)中的基板200上及特征202内。底层207可为沿着特征的侧壁和/或下表面的至少一部分而共形地形成的层,使得在沉积层之前,特征的实质部分在层沉积之后保持未填充。在一些实施方式中,底层207可沿着特征202的整个侧壁214和底表面206形成。底层207可为润湿层,所述润湿层设置用以增强设置在底层207之上的金属层的附着性。
在一些实施方式中,底层207具有约2埃至约100埃,或约2埃至约20埃的厚度。在一些实施方式中,底层207是含金属层。例如,在一些实施方式中,底层207可含有或可主要含有钨(W)、铝(Al)、钛(Ti)、钽(Ta)、上述的氧化物或氮化物、上述的硅化物、上述的衍生物或它们的组合。在一些实施方式中,底层207是金属或金属氮化物材料,诸如钛(Ti)、氮化钛(TiN)、其合金或它们的组合。在实施方式中,底层207包含氮化钛(TiN)或由氮化钛(TiN)组成。在一些实施方式中,底层207可由化学气相沉积(CVD)腔室或原子层沉积(ALD)腔室、例如是下面关于图3而描述的任何基板处理腔室312、314来沉积。例如,在一些实施方式中,底层207具有约2埃至约100埃,或约2埃至约5埃的厚度,并通过ALD或CVD沉积。在一些实施方式中,底层207是通过CVD或ALD沉积的厚度为约2埃至约100埃,或约2埃至约5埃的氮化钛(TiN)。
接下来,在102处,将第一钴层208沉积在第一处理腔室中的基板200上和特征202中的底层207的顶上。替代地,在未沉积任选的底层207的实施方式中,方法可在102处通过将第一钴层208沉积在第一处理腔室中的基板200上和特征202中来开始。第一钴层208可包含纯钴或由纯钴组成。在实施方式中,第一钴层208包括钴或钴合金。例如,有用的钴合金包括钴-钨合金、钴-磷合金、钴-锡合金、钴-硼合金和诸如钴-钨-磷和钴-钨-硼之类的三元合金。然而,第一钴层208亦可包括其他金属、金属合金和掺杂剂,诸如镍、锡、钛、钽、钨、钼、铂、铁、铌、钯、镍钴合金、掺杂的钴和上述的组合。在实施方式中,第一钴层208的钴和含钴材料是实质纯钴,或具有不超过5%杂质的钴。在实施方式中,第一钴层是具有不超过5%的其他金属于其中的钴材料。
在一些实施方式中,如图2B所示,第一钴层208沉积在基板200的第一表面222的顶上及在第一表面222中形成的特征202内。可使用任何合适的(多种)CVD沉积工艺而沉积第一钴层208。适用于沉积第一钴层208的CVD工艺的非限制性实例公开于2012年2月7日公告的授予Ganguli等人的共同拥有的美国专利第8,110,489号中。在一些实施方式中,第一钴层208是用以填充特征202的导电钴材料,例如,用以形成导电通路。在一些实施方式中,使用合适的钴前驱物经由CVD处理而沉积第一钴层208,以形成含钴材料,诸如在2012年2月7日公告的授予Ganguli等人的共同拥有的美国专利第8,110,489号、2015年6月19日公告的授予Lu等人的共同拥有的美国专利第9,051,641号及2017年6月20日公告的授予Zope等人的美国专利第9,685,371号中描述的彼等。
在一些实施方式中,第一钴层208的厚度是预定的,诸如约20埃至约150埃,或约50埃至约150埃。在实施方式中,第一钴层208的形状是实质均匀且共形的,如图2A至图2E中大致所示,然而可发生变化且可在特征202中形成非共形的间隙形状。在一些实施方式中,第一钴层208可任选地直接形成在特征202的整个侧壁214和底表面206的顶上。在一些实施方式中,第一钴层208可直接形成在底层207的顶上,底层207设置在特征202的侧壁214和底表面206的顶上。
在104和图2C处,通过在物理气相沉积(PVD)腔室中执行等离子体处理以将第一钴层208的一部分回流到特征202中而至少部分地用钴填充特征202。例如,PVD工艺回流内层210a和210b的一部分(图2B中所示),以在区域215中形成至少部分填充的特征202。例如,特征202可通过在从特征202的底表面206朝上边角220沉积的物理气相沉积(PVD)中执行等离子体处理而填充约20%至95%、填充约30%至约85%、填充约40-60%,或至少约25%、至少约50%或至少约75%。部分填充的特征的非限制性实例包括使用根据本公开内容的PVD处理而从底部到顶部填充至少50%、60%、70%、75%、80%、90%、95%、96%、97%、98%或99%,但不填充100%的一个或多个特征。在一些实施方式中,并且如图2D中所示,使用根据本公开内容的PVD工艺,特征202可从特征202的底表面206到上边角220和/或在上边角220上方完全的用钴填充。
在一些实施方式中,在第二处理腔室332或338(第3图)中进行所述工艺,第二处理腔室332或338可为被构造成以于此揭露的方式沉积钴和含钴材料的任何PVD腔室。适合于根据于此的教示进行修改并适合于执行上述处理的一个示例性PVD处理系统是可从加州圣克拉拉市的应用材料公司商购获得的CirrusTM HTX PVD系统。在实施方式中,合适的PVD腔室包括2014年8月5日公告的授予Ritchie等人的美国专利第8,795,487号和2002年10月10日公告的Rong Tao等人的美国专利申请公开号为第2002/0144889号中描述的那些腔室。
为了执行沉积处理以回流第一钴层208,将RF和DC功率提供给设置在PVD处理腔室内的钴或含钴靶材。可以从约13至约60MHz,或27至约40MHz,或约40MHz的频率向靶材提供约0.25至约6千瓦的RF能量。在实施方式中,向钴或含钴靶材提供约0.5至5.0千瓦的DC功率。
另外,PVD处理腔室保持在约4mTorr至约150mTorr,或约10mTorr至约150mTorr的压力下。可以约5至约30MHz,或约10至约15MHz,或约13.56MHz的频率向基板支撑件提供约0.1W至310W、例如是至少约300W的RF偏压功率。
PVD工艺包括合适的气体,以促进回流工艺。气体源可提供合适的气体物种,诸如惰性气体、氢气(H2)或上述组合,惰性气体诸如氩气、氪气、氖气或类似气体。在一些实施方式中,等离子体处理包括由氢气或惰性气体形成的等离子体。在一些实施方式中,仅提供H2气体。
仍然参考回流第一钴层208的工艺,等离子体处理腔室可包括高温加热器,适于将基板加热至约350℃至约500℃或约350℃至约450℃的温度。
在一些实施方式中,靶材原子撞击基板。以0.1-10埃/秒的沉积速率适用于根据本公开内容的用途。因此,物理气相沉积腔室可被构造成以0.1-10埃/秒应用钴沉积速率。
在实施方式中,高密度PVD钴应用减少杂质,并促进钴晶粒生长,同时能获得从特征202的底部向上的无空隙钴间隙填充。如上面关于图2D所解释的,可执行PVD处理,使得特征从底部到顶部完全或基本上完全填充。替代地,参考108和图2E,可执行上面论述的PVD处理,以仅部分地填充特征,且可在CVD处理腔室、例如是CVD处理腔室334或336(图3)中在基板200上和特征202内沉积另外的钴金属材料209,以完全填充特征。在一些实施方式中,如图2E所示,钴金属材料209沉积在特征202的顶上和/或特征202内。钴金属材料209可使用任何合适的(多种)CVD沉积工艺而沉积,诸如上面关于102而论述的那些。合适的钴材料包括上面关于第一钴层208而描述的钴材料。在一些实施方式中,钴金属材料209是用以填充特征202的导电钴材料,例如,以形成导电通路。
在一些实施方式中,108处的CVD应用从底部到顶部完全填充特征。在实施方式中,如图2E所示,108处的CVD应用过度填充一个或多个特征。在一些实施方式中,如图2E所示,由于在208处执行CVD沉积,可能在钴金属材料209内形成(数个)空隙211和微空隙213。因此,在110处,可退火基板和特征以促进其中的均匀性,移除(数个)空隙211和微空隙213。任选的退火工艺包括以介于约50℃与约1400℃之间(例如,在约50℃与500℃之间;在约100℃与约300℃之间;在约300℃与500℃之间)的量对特征施加温度。在热退火工艺期间,将包括至少含氢气体和/或惰性气体(例如,氩气500℃)的气体混合物供应到腔室中。可使用在退火工艺之前用气体填充腔室的静态工艺或在退火工艺期间将气体混合物连续地流过腔室的连续流动工艺任一者来将气体混合物供应到退火腔室。
在实施方式中,热退火工艺110可在与金属沉积处理相同的处理腔室中原位执行。若CVD腔室、例如是腔室336(图3)具有将基板加热到退火工艺的温度以及根据需要提供处理气体的能力,则可在同一腔室中执行金属层沉积和退火。替代地,热退火处理可在单独的处理腔室中执行。
参考图2F,示出特征202填充有基本上没有空隙和微空隙的钴。在实施方式中,特征202没有空隙和微空隙。例如,可使用本领域已知的化学机械平坦化(CMP)技术进一步处理基板200,以平坦化晶片401的表面(例如,用以移除设置在基板的顶上和特征之上方的过量钴覆盖层)。
在一些示例性实施方式中,一种用于处理基板以用钴填充特征的方法包括经由化学气相沉积(CVD)工艺在基板的顶上和设置在基板中的特征内将第一钴层沉积到约20埃至约150埃的厚度。接着,通过在物理气相沉积(PVD)腔室中执行等离子体处理以将第一钴层的一部分回流到特征中而用钴至少部分地填充特征。等离子体处理可进一步为特征增加约20埃至约150埃或约60埃的厚度。可经由第二化学气相沉积(CVD)处理将额外的钴沉积约20埃至约150埃的厚度到特征中,其中第二CVD处理完全填充特征。接着可对填充的特征进行退火。
于此描述的方法可在单独的处理腔室中执行,处理腔室可以独立构造的方式而提供或作为一个或多个群集工具的一部分而提供,群集工具,例如是下面关于图3而描述的整合工具300(亦即,群集工具)。在一些实施方式中,上述处理基板的方法100可在作为独立腔室或作为群集工具的一部分而提供的单独处理腔室中执行。在实施方式中,群集工具被构造以用于执行如于此所述的用于处理基板的方法,包括:经由化学气相沉积(CVD)工艺沉积第一钴层;通过在物理气相沉积(PVD)腔室中执行等离子体处理而用钴至少部分地填充特征;任选地经由第二化学气相沉积(CVD)工艺沉积额外的钴;及任选地对填充的特征进行退火。在一些实施方式中,群集工具可被被构造以仅用于沉积,且退火可在单独的腔室中执行。在一些实施方式中,退火可在PVD或CVD处理腔室中的任一个中进行。
整合工具300的实例包括可从加州圣克拉拉市的应用材料公司获得的和/>整合工具。然而,于此描述的方法可使用具有与其耦接的合适处理腔室的其他群集工具来实施,或在其他合适的处理腔室中实施。例如,在一些实施方式中,上面论述的本发明的方法可有利地在如下的整合工具中执行:使得在处理时存在有限的真空中断或没有真空中断。
整合工具300可包括两个负载锁定腔室306A、306B,用于将基板传送到整合工具300中和从整合工具300中传出。通常,由于整合工具300处于真空下,负载锁定腔室306A、306B可对引入到整合工具300中的基板“抽真空(pump down)”。第一机器人310可在负载锁定腔室306A、306B和耦接到第一中央传送腔室350的第一组一个或多个基板处理腔室312、314、316、318(示出为四个)之间传送基板。每个基板处理腔室312、314、316、318可经配备以执行多个基板处理操作。在一些实施方式中,第一组一个或多个基板处理腔室312、314、316、318可包括PVD、ALD、CVD、蚀刻或脱气腔室的任何组合。例如,在一些实施方式中,处理腔室312和314包括被构造成沉积例如底层207的氮化钛的CVD和/或ALD处理腔室。
第一机器人310亦可将基板传送到两个中间传送腔室322、324或自两个中间传送腔室322、324传送。中间传送腔室322、324可用以维持超高真空条件,同时允许基板在整合工具300内传送。第二机器人330可在中间传送腔室322、324和耦接到第二中央传送腔室355的第二组一个或多个基板处理腔室332、334、335、336、338之间传送基板。基板处理腔室332、334、335、336、338可经配备以执行各种基板处理操作,包括上述方法100以及物理气相沉积处理(PVD)、化学气相沉积(CVD)、蚀刻、定向和其他基板工艺。在一些实施方式中,第二组一个或多个基板处理腔室332、334、335、336、338可包括PVD、ALD、CVD、蚀刻或脱气腔室的任何组合。例如,在一些实施方式中,基板处理腔室332、334、335、336、338包括三个CVD腔室334、335和336,以及两个PVD腔室332和338。若对于由整合工具300执行的特定处理不是必需的,则基板处理腔室312、314、316、318、332、334、335、336、338的任一腔室可从整合工具300移除。
本公开内容的实施方式包括用于在基板上沉积膜的设备,包含:如腔室350或355那样的中央真空传送腔室;如腔室312和/或314那样的化学气相沉积(CVD)和/或原子层沉积(ALD)处理腔室,被构造成沉积氮化钛并耦接到中央真空传送腔室;如腔室334和/或335那样的化学气相沉积(CVD)处理腔室,被构造成沉积如于此所述的钴和含钴材料,耦接到中央真空传送腔室;和如腔室332和338那样的物理气相沉积(PVD)腔室,被构造成回流和/或沉积如于此所述的钴和含钴材料并耦接到中央真空传送腔室350和/或355。
可使用其他半导体基板处理系统来实施本公开内容,其中在不背离本公开内容的精神的情况下,通过利用于此公开的教示,本领域技术人员可调整处理参数以实现可接受的特性。尽管前述内容针对本公开内容的实施方式,但是在不背离本公开内容的基本范围的情况下可设计本公开内容的其他和进一步的实施方式。

Claims (14)

1.一种用于处理基板的方法,包含以下步骤:
经由化学气相沉积(CVD)工艺在基板的顶上和设置在所述基板中的特征内沉积第一钴层;及
通过在具有钴靶材的物理气相沉积(PVD)腔室中执行等离子体处理以将所述第一钴层的一部分回流到所述特征中而至少部分地用钴填充所述特征。
2.如权利要求1所述的方法,其中所述等离子体处理包括在所述特征内从设置在所述PVD腔室中的钴靶材沉积钴。
3.如权利要求1所述的方法,进一步包含以下步骤:
在沉积所述第一钴层之前在所述特征内沉积底层,并将所述第一钴层直接沉积在所述底层的顶上。
4.如权利要求3所述的方法,其中所述底层包含氮化钛。
5.如权利要求4所述的方法,其中所述氮化钛具有2埃至20埃的厚度。
6.如权利要求1至5任一项所述的方法,其中所述等离子体处理在350℃至500℃的温度下执行。
7.如权利要求1至5任一项所述的方法,其中所述等离子体处理包含由氢气或惰性气体形成的等离子体。
8.如权利要求1至5任一项所述的方法,其中所述等离子体处理包含由氩、氪或氖中的一或多种形成的等离子体。
9.如权利要求1至5任一项所述的方法,其中在所述等离子体处理期间完全填充所述特征。
10.如权利要求9所述的方法,进一步包含以下步骤:
通过对所述特征施加介于50℃与1400℃之间的温度进行退火。
11.如权利要求1至5任一项所述的方法,其中所述特征仅在所述等离子体处理期间被部分填充,且进一步包含以下步骤:
随后经由CVD工艺沉积第二钴层以完全填充所述特征。
12.如权利要求11所述的方法,进一步包含以下步骤:
通过对所述特征施加50℃和1400℃的温度进行退火。
13.如权利要求1至5任一项所述的方法,其中所述特征具有小于或等于15nm的宽度。
14.如权利要求1至5任一项所述的方法,其中所述第一钴层沉积至20埃至150埃的厚度。
CN201880061451.2A 2017-09-21 2018-09-18 用钴填充基板特征的方法与设备 Active CN111133558B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/711,169 2017-09-21
US15/711,169 US10304732B2 (en) 2017-09-21 2017-09-21 Methods and apparatus for filling substrate features with cobalt
PCT/US2018/051509 WO2019060296A1 (en) 2017-09-21 2018-09-18 METHODS AND APPARATUS USED TO FILL SUBSTRATE CHARACTERISTICS WITH COBALT

Publications (2)

Publication Number Publication Date
CN111133558A CN111133558A (zh) 2020-05-08
CN111133558B true CN111133558B (zh) 2024-04-02

Family

ID=65720533

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880061451.2A Active CN111133558B (zh) 2017-09-21 2018-09-18 用钴填充基板特征的方法与设备

Country Status (6)

Country Link
US (1) US10304732B2 (zh)
JP (1) JP7309697B2 (zh)
KR (1) KR102572732B1 (zh)
CN (1) CN111133558B (zh)
TW (1) TWI782094B (zh)
WO (1) WO2019060296A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11410891B2 (en) 2019-08-26 2022-08-09 International Business Machines Corporation Anomaly detection and remedial recommendation
US11164815B2 (en) 2019-09-28 2021-11-02 International Business Machines Corporation Bottom barrier free interconnects without voids
US11776980B2 (en) * 2020-03-13 2023-10-03 Applied Materials, Inc. Methods for reflector film growth
US11527437B2 (en) 2020-09-15 2022-12-13 Applied Materials, Inc. Methods and apparatus for intermixing layer for enhanced metal reflow

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08213322A (ja) * 1994-10-12 1996-08-20 Applied Materials Inc イオン衝撃増強リフロー
TW201409613A (zh) * 2012-03-28 2014-03-01 Applied Materials Inc 實現無縫鈷間隙塡充之方法
CN105390438A (zh) * 2014-08-21 2016-03-09 朗姆研究公司 用于无孔隙钴间隙填充的方法
CN105518827A (zh) * 2013-09-27 2016-04-20 应用材料公司 实现无缝钴间隙填充的方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003030224A2 (en) * 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20070184652A1 (en) 2006-02-07 2007-08-09 Texas Instruments, Incorporated Method for preparing a metal feature surface prior to electroless metal deposition
TW200746268A (en) * 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP2008141050A (ja) * 2006-12-04 2008-06-19 Ulvac Japan Ltd 半導体装置の製造方法及び半導体装置の製造装置
US20080132050A1 (en) * 2006-12-05 2008-06-05 Lavoie Adrien R Deposition process for graded cobalt barrier layers
KR20090103058A (ko) 2008-03-27 2009-10-01 주식회사 하이닉스반도체 반도체 소자 및 이의 제조 방법
US20090246952A1 (en) 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US8795487B2 (en) 2010-03-31 2014-08-05 Applied Materials, Inc. Physical vapor deposition chamber with rotating magnet assembly and centrally fed RF power
US8524600B2 (en) * 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US9499901B2 (en) 2012-01-27 2016-11-22 Applied Materials, Inc. High density TiN RF/DC PVD deposition with stress tuning
US9218980B2 (en) * 2013-09-13 2015-12-22 Applied Materials, Inc. Surface treatment to improve CCTBA based CVD co nucleation on dielectric substrate
US9741577B2 (en) * 2015-12-02 2017-08-22 International Business Machines Corporation Metal reflow for middle of line contacts
US10128151B2 (en) * 2016-12-16 2018-11-13 Globalfoundries Inc. Devices and methods of cobalt fill metallization
TWI758398B (zh) * 2017-01-24 2022-03-21 美商應用材料股份有限公司 用於在基板上形成鈷層的方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08213322A (ja) * 1994-10-12 1996-08-20 Applied Materials Inc イオン衝撃増強リフロー
TW201409613A (zh) * 2012-03-28 2014-03-01 Applied Materials Inc 實現無縫鈷間隙塡充之方法
JP2015519725A (ja) * 2012-03-28 2015-07-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シームレスのコバルト間隙充填を可能にする方法
CN105518827A (zh) * 2013-09-27 2016-04-20 应用材料公司 实现无缝钴间隙填充的方法
KR20160063378A (ko) * 2013-09-27 2016-06-03 어플라이드 머티어리얼스, 인코포레이티드 심리스 코발트 갭-충전을 가능하게 하는 방법
CN105390438A (zh) * 2014-08-21 2016-03-09 朗姆研究公司 用于无孔隙钴间隙填充的方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
等离子体增强原子层沉积原理与应用;曹燕强;李爱东;;微纳电子技术(第07期);全文 *

Also Published As

Publication number Publication date
TW201925532A (zh) 2019-07-01
CN111133558A (zh) 2020-05-08
JP7309697B2 (ja) 2023-07-18
TWI782094B (zh) 2022-11-01
WO2019060296A1 (en) 2019-03-28
JP2020534702A (ja) 2020-11-26
US10304732B2 (en) 2019-05-28
US20190088540A1 (en) 2019-03-21
KR20200045563A (ko) 2020-05-04
KR102572732B1 (ko) 2023-08-29

Similar Documents

Publication Publication Date Title
CN111133558B (zh) 用钴填充基板特征的方法与设备
US9947578B2 (en) Methods for forming low-resistance contacts through integrated process flow systems
CN106133878B (zh) 用于线路中段(mol)应用的金属有机钨的形成方法
US6554914B1 (en) Passivation of copper in dual damascene metalization
US20090227087A1 (en) Method to improve uniformity of chemical mechanical polishing planarization
KR20190062119A (ko) 반도체 상호연결 구조물들을 위한 물리 기상 증착 프로세스
US11417568B2 (en) Methods for selective deposition of tungsten atop a dielectric layer for bottom up gapfill
US10879081B2 (en) Methods of reducing or eliminating defects in tungsten film
WO2011114989A1 (ja) 薄膜の形成方法
US20180108610A1 (en) Liner planarization-free process flow for fabricating metallic interconnect structures
US9978639B2 (en) Methods for reducing copper overhang in a feature of a substrate
US11024537B2 (en) Methods and apparatus for hybrid feature metallization
KR20180034265A (ko) 니켈 배선의 제조 방법
US20150130064A1 (en) Methods of manufacturing semiconductor devices and a semiconductor structure
JP2024503626A (ja) 低温グラフェンの成長
US20130146468A1 (en) Chemical vapor deposition (cvd) of ruthenium films and applications for same
TWI476820B (zh) 改良化學機械研磨平坦化之均勻度的方法
JP2018117065A (ja) 金属膜の埋め込み方法
KR20190076910A (ko) 텅스텐 층을 에칭하는 방법들

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant