JP2020534702A - 基板のフィーチャをコバルトで充填する方法および装置 - Google Patents

基板のフィーチャをコバルトで充填する方法および装置 Download PDF

Info

Publication number
JP2020534702A
JP2020534702A JP2020516638A JP2020516638A JP2020534702A JP 2020534702 A JP2020534702 A JP 2020534702A JP 2020516638 A JP2020516638 A JP 2020516638A JP 2020516638 A JP2020516638 A JP 2020516638A JP 2020534702 A JP2020534702 A JP 2020534702A
Authority
JP
Japan
Prior art keywords
cobalt
feature
substrate
chamber
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020516638A
Other languages
English (en)
Other versions
JP2020534702A5 (ja
JP7309697B2 (ja
Inventor
ウェンティン ホウ
ウェンティン ホウ
ジャンシン レイ
ジャンシン レイ
ジョン ジュ リー
ジョン ジュ リー
ロン タオ
ロン タオ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020534702A publication Critical patent/JP2020534702A/ja
Publication of JP2020534702A5 publication Critical patent/JP2020534702A5/ja
Application granted granted Critical
Publication of JP7309697B2 publication Critical patent/JP7309697B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02697Forming conducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thermal Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemically Coating (AREA)

Abstract

フィーチャをコバルトで充填する方法および装置が、本明細書に提供される。いくつかの実施形態では、基板を処理する方法が、化学気相堆積(CVD)プロセスを介して、基板の上で、基板内に配置されたフィーチャ内に第1のコバルト層を堆積させることと、コバルトターゲットを有する物理的気相堆積(PVD)チャンバ内でプラズマプロセスを実行して第1のコバルト層の一部分をフィーチャ内へリフローさせることによって、フィーチャをコバルトまたはコバルト含有材料で少なくとも部分的に充填することとを含む。PVDチャンバは、PVDチャンバ内に配置されたコバルトターゲットからフィーチャ内にコバルトまたはコバルト含有材料を同時に堆積させるように構成することができる。【選択図】図1

Description

本開示の実施形態は、一般に、半導体製造プロセスの分野に関し、より詳細には、半導体基板のフィーチャ(feature、特徴)内にコバルト含有層を堆積させる方法に関する。
コバルトは、10/7nmのノードにおけるコンタクトおよびBEOL(バックエンドオブザライン)インターコネクトの両方の充填用途に対する新しい材料解決策の1つの候補である。タングステン(W)のコンタクトは、チタン(Ti)/窒化チタン(TiN)の障壁を含み、本発明者らは、Ti/TiNの障壁が界面抵抗を増大させ、フィーチャ(例えば、インターコネクト)の縮小スケーリングを制限するとき、問題になることを観察した。加えて、本発明者らは、銅(Cu)のビアでも、障壁/ライナが界面抵抗を増大させ、ビア抵抗のスケーリングに悪影響を与えるとき、問題になることを観察した。
さらに、本発明者らは、化学気相堆積(CVD)による共形のコバルトの充填の結果、多くの場合、フィーチャにボイドが埋め込まれてマイクロボイドを形成することが望ましくないことを観察した。積極的なアニールプロセス(例えば、より高い温度およびより長いアニール時間)を使用した場合でも、マイクロボイドを除去するのは困難であり、フィーチャ内に残る可能性があることは望ましくない。さらに、BEOLプロセスでは、基板上の誘電体材料を保護するため、アニール温度が制限される。
したがって、本発明者らは、基板のフィーチャをコバルトで充填する改善された方法を提供する。
フィーチャをコバルトで充填する方法および装置が、本明細書に提供される。いくつかの実施形態では、基板を処理する方法は、化学気相堆積(CVD)プロセスを介して、基板の上で、基板内に配置されたフィーチャ内に第1のコバルト層を堆積させることと、コバルトターゲットを有する物理的気相堆積(PVD)チャンバ内でプラズマプロセスを実行して第1のコバルト層の一部分をフィーチャ内へリフローさせることによって、フィーチャをコバルトで少なくとも部分的に充填することとを含む。実施形態では、物理的気相堆積(PVD)チャンバ内でプラズマプロセスを実行して第1のコバルト層の一部分をフィーチャ内へリフローさせることは、PVDチャンバ内に配置されたコバルトターゲットからフィーチャ内にコバルトを同時に堆積させることを含む。
任意に、実施形態は、第1のコバルト層を堆積させる前にフィーチャ内に下層を堆積させることと、下層の上に第1のコバルト層を直接堆積させることとを含むことができる。
いくつかの実施形態では、基板を処理する方法が、基板内に配置されたフィーチャ内に下層を堆積させることと、化学気相堆積(CVD)プロセスを介して、基板の上で、下層の上に第1のコバルト層を直接堆積させることと、物理的気相堆積(PVD)チャンバ内でプラズマプロセスを実行して第1のコバルト層の一部分をフィーチャ内へリフローさせることによって、フィーチャをコバルトで部分的に充填することと、次にCVDプロセスを介して第2のコバルト層を堆積させ、フィーチャを完全に充填することとを含む。実施形態では、物理的気相堆積(PVD)チャンバ内でプラズマプロセスを実行して第1のコバルト層の一部分をフィーチャ内へリフローさせることは、PVDチャンバ内に配置されたコバルトターゲットからフィーチャ内にコバルトを同時に堆積させることを含む。
いくつかの実施形態では、基板上に膜を堆積させる装置は、中央真空移送チャンバと、窒化チタンを堆積させるように構成され、中央真空移送チャンバに結合された化学気相堆積(CVD)プロセスチャンバと、コバルトを堆積させるように構成され、中央真空移送チャンバに結合された化学気相堆積(CVD)プロセスチャンバと、コバルトを堆積させるように構成され、中央真空移送チャンバに結合された物理的気相堆積(PVD)チャンバとを含む。実施形態では、PVDチャンバは、物理的気相堆積(PVD)チャンバ内でプラズマプロセスを実行して第1のコバルト層の一部分をフィーチャ内へリフローさせるとともに、PVDチャンバ内に配置されたコバルトターゲットからフィーチャ内にコバルトを同時に堆積させるように構成される。
本開示の他のさらなる実施形態は、以下に説明する。
上で簡単に要約し、以下でより詳細に論じる本開示の実施形態は、添付の図面に示す本開示の例示的な実施形態を参照することによって理解することができる。しかし、本開示は他の等しく有効な実施形態も許容しうるため、添付の図面は本開示の典型的な実施形態のみを示しており、したがって範囲を限定すると見なされるべきではない。
本開示の実施形態による半導体デバイスのフィーチャ内にコバルト金属を堆積させる方法の流れ図を示す。 本開示の図1の実施形態による半導体デバイスのフィーチャ内に金属を堆積させる製造段階をそれぞれ示す図である。 本開示の図1の実施形態による半導体デバイスのフィーチャ内に金属を堆積させる製造段階をそれぞれ示す図である。 本開示の図1の実施形態による半導体デバイスのフィーチャ内に金属を堆積させる製造段階をそれぞれ示す図である。 本開示の図1の実施形態による半導体デバイスのフィーチャ内に金属を堆積させる製造段階をそれぞれ示す図である。 本開示の図1の実施形態による半導体デバイスのフィーチャ内に金属を堆積させる製造段階をそれぞれ示す図である。 本開示の図1の実施形態による半導体デバイスのフィーチャ内に金属を堆積させる製造段階をそれぞれ示す図である。 本開示のいくつかの実施形態による基板を処理する方法を実行するのに好適なクラスタツールを示す図である。
理解を容易にするために、可能な場合、これらの図に共通の同一の要素を指すために、同一の参照番号を使用した。これらの図は、原寸に比例して描かれておらず、見やすいように簡略化されていることがある。一実施形態の要素およびフィーチャは、さらなる記載がなくても、他の実施形態に有益に組み込むことができる。
本開示の実施形態は、金属で充填された1つまたは複数のフィーチャを含む基板を処理するときに改善されたフィーチャの充填を提供する、基板を処理する方法を提供する。
本発明者らは、フィーチャ内に堆積させたコバルトが、本開示による金属充填プロセスを介してより大きい粒子、より低い抵抗率、およびより良好な粗さを示すことが有利であることを観察した。さらに、本開示によるコバルト(Co)の充填は、7nmのノードにおいて、コンタクトの用途の場合、タングステンより約5分の1〜約8分の1低い金属線抵抗をもたらし、インターコネクトの用途の場合、銅の充填と比較すると45%を超えるビア抵抗の低減をもたらす。本開示によれば、CVDプロセスとPVDプロセスとを組み合わせると、金属で充填された高品質のフィーチャが得られる。実施形態では、CVDプロセスを使用して、基板上の少なくとも1つのフィーチャ内にコバルトを堆積させ、次いでPVDチャンバへ動かし、そこでプロセスを実行して、コバルトの密度および純度を増大させながら、コバルトの抵抗率を減少させる。実施形態では、PVDプロセスは、以下でより詳細に説明するように、加熱された環境で実行されるPVDプロセスである。これらのプロセスは、プロセス間の真空破壊の有無にかかわらず実行することができる。
図1は、本開示のいくつかの実施形態による基板を処理する方法100の流れ図である。方法100について、図2A〜図2Fに示す基板を処理する段階に関して以下に説明する。方法100は、例えば図3に関して以下に説明するような好適なクラスタツールおよびプロセスチャンバ内で実行することができる。本明細書に開示する方法を実行するために使用することができる例示的な処理システムは、それだけに限定されるものではないが、カリフォルニア州サンタクララのApplied Materials,Inc.から市販されているENDURA(登録商標)、CENTURA(登録商標)、またはPRODUCER(登録商標)ブランドの処理システムのいずれかを含むことができる。他の製造業者から入手可能なものを含む他のプロセスチャンバも、本明細書に提供する教示に関連して好適に使用することができる。
方法100は、典型的に、図3に関して以下に説明するように、プロセスチャンバ、例えば基板処理チャンバ314および基板処理チャンバ338などの処理容積に設けられた基板200に実行される。いくつかの実施形態では、図2Aに示すように、基板200は、充填すべき1つまたは複数のフィーチャ202(図2A〜図2Fに示すもの)を含み、フィーチャ202は、基板200の層212内に形成されており、基板200のベース204の方へ延びる。以下の説明は、1つのフィーチャ202に関してなされているが、基板200は、以下に説明するように、任意の数のフィーチャ202(ビア、トレンチなど)を含むことができる。
基板200は、基板200または層212内に形成されたフィーチャ202を有する任意の好適な基板とすることができる。例えば、基板200は、ケイ素(Si)、酸化ケイ素(SiO2)などの1つまたは複数を含むことができる。実施形態では、基板200は、誘電体層内に形成されたフィーチャ202を含むことができる。例えば、低誘電率材料(例えば、酸化ケイ素より小さい誘電率または約3.9未満の誘電率を有する材料)などを含むことができる。いくつかの実施形態では、第2の誘電体層(図示せず)の上に、酸化ケイ素、窒化ケイ素、炭化ケイ素などの層212を配置することができる。
加えて、基板200は、追加の材料層を含むことができ、または基板200の中もしくは上に形成された1つもしくは複数の完全なもしくは部分的に完全な構造もしくはデバイスを有することができる。いくつかの実施形態では、論理デバイスなどの層216、またはゲート、コンタクトパッド、コバルトパッド、導電線、もしくはビアなどの電気接続性を必要とするデバイスの一部分を、基板200のベース204内に配置し、フィーチャ202と位置合わせすることができる。例えば、フィーチャ202を導電性材料で充填して、層216への導電経路を形成することができる。本明細書では、層216は、基板の表面全体にわたって延びる連続構造である必要はなく、デバイス、部分的なデバイス、導電経路などのより小さい構成要素とすることもできる。
実施形態では、基板200は、例えば、ドープまたは非ドープシリコン基板、III−V化合物基板、シリコンゲルマニウム(SiGe)基板、エピ基板、シリコンオンインシュレータ(SOI)基板、液晶ディスプレイ(LCD)、プラズマディスプレイ、エレクトロルミネセンス(EL)ランプディスプレイなどのディスプレイ基板、発光ダイオード(LED)基板、太陽電池アレイ、太陽電池パネルなどとすることができる。いくつかの実施形態では、基板200は、半導体ウエハとすることができる。
基板200は、いかなる特定のサイズまたは形状にも限定されるものではない。基板は、とりわけ200mmの直径、300mmの直径、または450mmなどの他の直径を有する円形のウエハとすることができる。基板はまた、フラットパネルディスプレイの製造で使用される多角形のガラス基板など、任意の多角形、正方形、長方形、曲線形、または他の円形以外の加工物とすることができる。
フィーチャ202は、任意の好適なエッチングプロセスを使用して、基板200をエッチングすることによって形成することができる。いくつかの実施形態では、フィーチャ202は、1つまたは複数の側壁214、底面206、および上部コーナ220によって画定される。いくつかの実施形態では、フィーチャ202は、ビア、トレンチ、デュアルダマシンなどとすることができる。いくつかの実施形態では、フィーチャ202は、高いアスペクト比、例えば約5:1〜約15:1のアスペクト比を有することができる。本明細書では、アスペクト比は、フィーチャの深さとフィーチャの幅との比である。実施形態では、フィーチャ202の幅は15nm以下である。
図1(破線で示す106)および図2A〜図2Fを参照すると、任意に、層を堆積させるように構成されたプロセスチャンバ(例えば、以下で論じる基板処理チャンバ312、314)において、基板200上でフィーチャ202内に下層207(破線で示す)を堆積させることができる。下層207は、フィーチャの側壁および/または下面の少なくとも一部分に沿って共形に形成された層とすることができ、したがってこの層の堆積前のフィーチャの大部分は、層の堆積後も充填されていないままである。いくつかの実施形態では、下層207は、フィーチャ202の側壁214および底面206の全体に沿って形成することができる。下層207は、下層207の上に配置される金属層の粘着性を高めるために設けられた湿潤層とすることができる。
いくつかの実施形態では、下層207の厚さは、約2オングストローム〜約100オングストローム、または約2オングストローム〜約20オングストロームである。いくつかの実施形態では、下層207は金属含有層である。例えば、いくつかの実施形態では、下層207は、タングステン(W)、アルミニウム(Al)、チタン(Ti)、タンタル(Ta)、これらの酸化物もしくは窒化物、これらのケイ化物、これらの誘導体、もしくはこれらの組合せを含有することができ、またはこれらを主として含有することができる。いくつかの実施形態では、下層207は、チタン(Ti)、窒化チタン(TiN)、これらの合金、またはこれらの組合せなどの金属または金属窒化物材料である。実施形態では、下層207は、窒化チタン(TiN)を含み、または窒化チタン(TiN)からなる。いくつかの実施形態では、下層207は、図3に関して以下に説明するように、基板処理チャンバ312、314のいずれかなどの化学気相堆積(CVD)チャンバまたは原子層堆積(ALD)チャンバによって堆積させることができる。例えば、いくつかの実施形態では、下層207は、厚さが約2オングストローム〜約100オングストローム、または約2オングストローム〜約5オングストロームであり、ALDまたはCVDによって堆積される。いくつかの実施形態では、下層207は、CVDまたはALDによって堆積された窒化チタン(TiN)であり、厚さは約2オングストローム〜約100オングストローム、または約2オングストローム〜約5オングストロームである。
次に102で、第1のプロセスチャンバにおいて、基板200上の下層207の上でフィーチャ202内に第1のコバルト層208が堆積される。代替的に、任意の下層207が堆積されていない実施形態では、この方法は102で、第1のプロセスチャンバにおいて基板200上でフィーチャ202内に第1のコバルト層208を堆積させることによって始まることができる。第1のコバルト層208は、純粋コバルトを含むことができ、または純粋コバルトからなることができる。実施形態では、第1のコバルト層208は、コバルトまたはコバルト合金を含む。例えば、有用なコバルト合金には、コバルト−タングステン合金、コバルト−リン合金、コバルト−スズ合金、コバルト−ホウ素合金、およびコバルト−タングステン−リンおよびコバルト−タングステン−ホウ素などの三元合金が含まれる。しかし、第1のコバルト層208はまた、ニッケル、スズ、チタン、タンタル、タングステン、モリブデン、白金、鉄、ニオブ、パラジウム、ニッケルコバルト合金、ドープコバルト、およびこれらの組合せなどの他の金属、金属合金、およびドーパントを含むこともできる。実施形態では、第1のコバルト層208のコバルトおよびコバルト含有材料は、実質的に純粋なコバルト、または5%を超える不純物を含まないコバルトである。実施形態では、第1のコバルト層は、5%を超える他の金属を含まないコバルト材料である。
いくつかの実施形態では、図2Bに示すように、第1のコバルト層208は、基板200の第1の表面222の上で、第1の表面222内に形成されたフィーチャ202内に堆積される。第1のコバルト層208は、任意の好適なCVD堆積プロセスを使用して堆積させることができる。第1のコバルト層208の堆積に好適なCVDプロセスの非限定的な例が、本願の権利者が所有する2012年2月7日出願のGanguliらの米国特許第8,110,489号に開示されている。いくつかの実施形態では、第1のコバルト層208は、フィーチャ202を充填するため、例えば導電経路を形成するために使用される導電性コバルト材料である。いくつかの実施形態では、第1のコバルト層208は、本願の権利者が所有する2012年2月7日出願のGanguliらの米国特許第8,110,489号、2015年6月19日出願のLuらの米国特許第9,051,641号、および2017年6月20日出願のZopeらの米国特許第9,685,371号に記載されているものなどの、コバルト含有材料を形成するのに好適なコバルト前駆体を使用して、CVDプロセスを介して堆積される。
いくつかの実施形態では、第1のコバルト層208の厚さは、約20オングストローム〜約150オングストローム、または約50オングストローム〜約150オングストロームなどに予め決定されている。実施形態では、第1のコバルト層208の形状は、図2A〜図2Eに概略的に示すように、実質的に均一でありかつ共形であるが、変動が生じることもあり、共形でない間隙形状がフィーチャ202内に形成されることもある。いくつかの実施形態では、第1のコバルト層208は、任意に、フィーチャ202の側壁214および底面206の全体の上に直接形成することができる。実施形態では、第1のコバルト層208は、フィーチャ202の側壁214および底面206の上に配置された下層207の上に直接形成することができる。
104および図2Cで、物理的気相堆積(PVD)チャンバ内でプラズマプロセスを実行して第1のコバルト層208の一部分をフィーチャ202内へリフローさせることによって、フィーチャ202がコバルトで少なくとも部分的に充填される。例えば、PVDプロセスは、内層210aおよび210b(図2Bに示す)の一部分をリフローさせて、少なくとも部分的に充填されたフィーチャ202を領域215内に形成する。例えば、フィーチャ202の底面206から上部コーナ220の方へ堆積させるプラズマプロセスを物理的気相堆積(PVD)内で実行することによって、フィーチャ202を約20%〜95%充填し、約30%〜約85%充填し、約40〜60%充填し、または少なくとも約25%、少なくとも約50%もしくは少なくとも約75%充填することができる。部分的に充填されたフィーチャの非限定的な例には、本開示によるPVD処置を使用して底部から頂部へ、少なくとも50%、60%、70%、75%、80%、90%、95%、96%、97%、98%、または99%充填されているが、100%は充填されていない1つまたは複数のフィーチャが含まれる。いくつかの実施形態では、図2Dに示すように、本開示によるPVD処置を使用して、フィーチャ202の底面206から上部コーナ220および/または上部コーナ220より上まで、フィーチャ202をコバルトで完全に充填することができる。
いくつかの実施形態では、プロセスは、第2のプロセスチャンバ332または338(図3)内で実行され、第2のプロセスチャンバ332または338は、本明細書に開示するようにコバルトおよびコバルト含有材料を堆積させるように構成された任意のPVDチャンバとすることができる。本明細書の教示による修正および上記のプロセスの実行に好適な1つの例示的なPVD処理システムは、カリフォルニア州サンタクララのApplied Materials,Inc.から市販されているENDURA(登録商標)Cirrus(商標)HTX PVDシステムである。実施形態では、好適なPVDチャンバには、2014年8月5日出願のRitchieらの米国特許第8,795,487号、および2002年10月10日公開のRong Taoらの米国特許出願公開第2002/0144889号に記載されているものが含まれる。
堆積プロセスを実行して第1のコバルト層208をリフローさせるために、PVDプロセスチャンバ内に配置されたコバルトまたはコバルト含有ターゲットにRFおよびDC電力が提供される。約13〜約60MHzもしくは27〜約40MHzまたは約40MHzの周波数で、約0.25〜約6キロワットのRFエネルギーをターゲットに提供することができる。実施形態では、約0.5〜5.0キロワットのDC電力がコバルトまたはコバルト含有ターゲットに提供される。
加えて、PVDプロセスチャンバは、約4ミリトル〜約150ミリトルまたは約10ミリトル〜約150ミリトルの圧力で維持される。約5〜約30MHzもしくは約10〜約15MHzまたは約13.56MHzの周波数で、約0.1W〜310W、例えば少なくとも約300WのRFバイアス電力を基板支持体に提供することができる。
PVDプロセスは、リフロープロセスを容易にするのに好適なガスを含む。ガス源は、アルゴン、クリプトン、ネオンなどの不活性ガス、水素(H2)、またはこれらの組合せなどの好適なガス種を提供することができる。いくつかの実施形態では、プラズマプロセスは、水素または不活性ガスから形成されたプラズマを含む。いくつかの実施形態では、H2ガスのみが提供される。
第1のコバルト層208をリフローさせるプロセスをさらに参照すると、プラズマ処理チャンバは、基板を約350℃〜約500℃または約350℃〜約450℃の温度に加熱するのに好適な高温加熱器を含むことができる。
いくつかの実施形態では、ターゲット原子が基板に当たる。0.1〜10オングストローム/秒の量の堆積速度が、本開示による使用に好適である。したがって、物理的気相堆積チャンバは、0.1〜10オングストローム/秒の量のコバルト堆積速度を適用するように構成することができる。
実施形態では、高密度のPVDコバルトの適用により、不純物を低減させ、コバルト粒子の成長を促進しながら、フィーチャ202の底部から上へボイドのないコバルトによる間隙充填を可能にする。図2Dに関して上記に説明したように、PVD処置は、フィーチャが底部から頂部へ完全にまたは実質的に完全に充填されるように実行することができる。代替的に、108および図2Eを参照すると、上記に論じたPVD処置は、フィーチャを部分的にのみ充填するように実行することができ、CVDプロセスチャンバ334または336(図3)などのCVDプロセスチャンバにおいて、基板200上でフィーチャ202内に追加のコバルト金属材料209を堆積させて、フィーチャを完全に充填することができる。いくつかの実施形態では、図2Eに示すように、フィーチャ202の上および/または中にコバルト金属材料209が堆積される。コバルト金属材料209は、102に関して上記に論じたものなどの、任意の好適なCVD堆積プロセスを使用して堆積させることができる。好適なコバルト材料には、第1のコバルト層208に関して上記に説明したコバルト材料が含まれる。いくつかの実施形態では、コバルト金属材料209は、フィーチャ202を充填するため、例えば導電経路を形成するために使用された導電性コバルト材料である。
いくつかの実施形態では、108におけるCVDの適用により、フィーチャを底部から頂部へ完全に充填する。実施形態では、図2Eに示すように、108におけるCVDの適用により、1つまたは複数のフィーチャをいっぱいに満たす。いくつかの実施形態では、208でCVD堆積が実行された結果、図2Eに示すように、コバルト金属材料209内にボイド211およびマイクロボイド213が形成されることがある。したがって、110で、基板およびフィーチャをアニールして、ボイド211およびマイクロボイド213を除去し、基板およびフィーチャの均一性を促進することができる。任意のアニーリングプロセスは、約50℃〜約1400℃(例えば、約50℃〜500℃、約100℃〜約300℃、約300℃〜500℃)の量の温度をフィーチャに加えることを含む。熱アニーリングプロセス中、少なくとも水素含有ガスおよび/または不活性ガス(例えば、アルゴン)を含む混合ガスがチャンバ内へ供給される。混合ガスは、アニールプロセス前にチャンバがガスで充填される静的プロセス、またはアニールプロセス中に混合ガスがチャンバに連続的に流される連続流プロセスを使用して、アニーリングチャンバへ供給することができる。
実施形態では、熱アニーリングプロセス110は、金属堆積プロセスと同じ処理チャンバ内で、インサイチュで実行することができる。チャンバ336(図3)などのCVDチャンバが、基板をアニールプロセスのための温度に加熱し、ならびに必要に応じてプロセスガスを提供する能力を有する場合、金属層の堆積およびアニールを同じチャンバ内で実行することができる。代替的に、熱アニーリングプロセスは、別個の処理チャンバ内で実行することもできる。
図2Fを参照すると、実質的にボイドおよびマイクロボイドのないコバルトで充填されたフィーチャ202が示されている。実施形態では、フィーチャ202はボイドおよびマイクロボイドを含まない。基板200は、例えばウエハ401の表面を平坦化する(例えば、基板およびフィーチャの上に過剰に配置された余分なコバルトを除去する)ために、当技術分野で公知である化学機械平坦化(CMP)技法を使用して、さらに処理することができる。
いくつかの例示的な実施形態では、フィーチャをコバルトで充填するために基板を処理する方法は、化学気相堆積(CVD)プロセスを介して、基板の上で、基板内に配置されたフィーチャ内に、約20オングストローム〜約150オングストロームの厚さまで第1のコバルト層を堆積させることを含む。次いでフィーチャは、物理的気相堆積(PVD)チャンバ内でプラズマプロセスを実行して第1のコバルト層の一部分をフィーチャ内へリフローさせることによって、コバルトで少なくとも部分的に充填される。プラズマプロセスは、約20オングストローム〜約150オングストロームまたは約60オングストロームの厚さをフィーチャにさらに加えることができる。追加のコバルトは、第2の化学気相堆積(CVD)プロセスを介して、フィーチャ内へ約20オングストローム〜約150オングストロームの厚さまで堆積されることができ、第2のCVDプロセスにより、フィーチャを完全に充填する。次いで、充填されたフィーチャをアニールすることができる。
本明細書に記載する方法は、個々のプロセスチャンバ内で実行することができ、これらのプロセスチャンバは、独立型の構成で提供することができ、または図3に関して以下に説明するように、1つもしくは複数のクラスタツール、例えば統合ツール300(すなわち、クラスタツール)の一部とすることができる。いくつかの実施形態では、上述した基板を処理する方法100は、独立型のチャンバまたはクラスタツールの一部として設けられた個々のプロセスチャンバ内で実行することができる。実施形態では、クラスタツールは、本明細書に記載する基板を処理する方法を実行するように構成され、この方法は、化学気相堆積(CVD)プロセスを介して第1のコバルト層を堆積させることと、物理的気相堆積(PVD)チャンバ内でプラズマプロセスを実行することによって、フィーチャをコバルトで少なくとも部分的に充填することと、任意に、第2の化学気相堆積(CVD)プロセスを介して、追加のコバルトを堆積させることと、任意に、充填されたフィーチャをアニーリングすることとを含む。いくつかの実施形態では、クラスタツールは、堆積するためだけに構成することができ、アニールは、別個のチャンバ内で実施することができる。いくつかの実施形態では、アニールは、PVDまたはCVDプロセスチャンバのいずれかで実施することができる。
統合ツール300の例には、カリフォルニア州サンタクララのApplied Materials,Inc.から入手可能なCENTURA(登録商標)およびENDURA(登録商標)の統合ツールが含まれる。しかし、本明細書に記載する方法は、好適なプロセスチャンバが結合された他のクラスタツールを使用して、または他の好適なプロセスチャンバ内で実施することもできる。例えば、いくつかの実施形態では、上記で論じた本発明の方法は、有利には、処理中の真空破壊が制限されるように、または処理中に真空破壊がないように、統合ツール内で実行することができる。
統合ツール300は、統合ツール300の中へ、およびそこから外へ基板を移送するための2つのロードロックチャンバ306A、306Bを含むことができる。典型的には、統合ツール300は真空下にあるため、ロードロックチャンバ306A、306Bは、統合ツール300内へ導入された基板を「ポンプダウン」することができる。第1のロボット310が、ロードロックチャンバ306A、306Bと、第1の中央移送チャンバ350に結合された第1の組の1つまたは複数の基板処理チャンバ312、314、316、318(4つを示す)との間で、基板を移送することができる。各基板処理チャンバ312、314、316、318は、いくつかの基板処理動作を実行するように装備することができる。いくつかの実施形態では、第1の組の1つまたは複数の基板処理チャンバ312、314、316、318は、PVD、ALD、CVD、エッチング、またはガス抜きチャンバの任意の組合せを含むことができる。例えば、いくつかの実施形態では、処理チャンバ312および314は、下層207などの窒化チタンを堆積させるように構成されたCVDおよび/またはALDプロセスチャンバを含む。
第1のロボット310はまた、2つの中間移送チャンバ322、324へ、およびそこから基板を移送することができる。中間移送チャンバ322、324を使用して、超高真空条件を維持しながら、統合ツール300内で基板を移送することを可能にすることができる。第2のロボット330が、中間移送チャンバ322、324と、第2の中央移送チャンバ355に結合された第2の組の1つまたは複数の基板処理チャンバ332、334、335、336、338との間で、基板を移送することができる。基板処理チャンバ332、334、335、336、338は、物理的気相堆積プロセス(PVD)、化学気相堆積(CVD)、エッチング、配向、および他の基板プロセスに加えて、上述した方法100を含む様々な基板処理動作を実行するように装備することができる。いくつかの実施形態では、第2の組の1つまたは複数の基板処理チャンバ332、334、335、336、338は、PVD、ALD、CVD、エッチング、またはガス抜きチャンバの任意の組合せを含むことができる。例えば、いくつかの実施形態では、基板処理チャンバ332、334、335、336、338は、3つのCVDチャンバ334、335、および336、ならびに2つのPVDチャンバ332および338を含む。基板処理チャンバ312、314、316、318、332、334、335、336、338はいずれも、統合ツール300によって特定のプロセスを実行するために必要でない場合、統合ツール300から除去することができる。
本開示の実施形態は、基板上に膜を堆積させる装置であって、チャンバ350または355などの中央真空移送チャンバと、窒化チタンを堆積させるように構成され、中央真空移送チャンバに結合された、チャンバ312および/または314などの化学気相堆積(CVD)および/または原子層堆積(ALD)プロセスチャンバと、本明細書に記載するコバルトおよびコバルト含有材料を堆積させるように構成され、中央真空移送チャンバに結合された、チャンバ334および/または335などの化学気相堆積(CVD)プロセスチャンバと、本明細書に記載するコバルトおよびコバルト含有材料をリフローおよび/または堆積させるように構成され、中央真空移送チャンバ350および/または355に結合された、チャンバ332および338などの物理的気相堆積(PVD)チャンバとを備える装置を含む。
本開示は、他の半導体基板処理システムを使用して実施することもでき、処理パラメータは、当業者であれば、本開示の趣旨から逸脱することなく、本明細書に開示する教示を利用することによって、許容できる特性を実現するように調整することができる。上記は本開示の実施形態を対象とするが、本開示の基本的な範囲から逸脱することなく、本開示の他のさらなる実施形態を考案することができる。

Claims (15)

  1. 基板を処理する方法であって、
    化学気相堆積(CVD)プロセスを介して、基板の上で、前記基板内に配置されたフィーチャ内に第1のコバルト層を堆積させることと、
    コバルトターゲットを有する物理的気相堆積(PVD)チャンバ内でプラズマプロセスを実行して前記第1のコバルト層の一部分を前記フィーチャ内へリフローさせることによって、前記フィーチャをコバルトで少なくとも部分的に充填することとを含む方法。
  2. 前記プラズマプロセスが、前記PVDチャンバ内に配置されたコバルトターゲットから前記フィーチャ内にコバルトを堆積させることを含む、請求項1に記載の方法。
  3. 前記第1のコバルト層を堆積させる前に前記フィーチャ内に下層を堆積させることと、前記下層の上に前記第1のコバルト層を直接堆積させることとをさらに含む、請求項1または2に記載の方法。
  4. 前記下層が窒化チタンを含む、請求項3に記載の方法。
  5. 前記窒化チタンの厚さが、約2オングストローム〜約20オングストロームである、請求項4に記載の方法。
  6. 前記プラズマプロセスが、約350℃〜約500℃の温度で実行される、請求項1〜5のいずれか1項に記載の方法。
  7. 前記プラズマプロセスが、水素または不活性ガスから形成されたプラズマを含む、請求項1〜6のいずれか1項に記載の方法。
  8. 前記プラズマプロセスが、アルゴン、クリプトン、またはネオンのうちの1つまたは複数から形成されたプラズマを含む、請求項1〜7のいずれか1項に記載の方法。
  9. 前記フィーチャが、前記プラズマプロセス中に完全に充填される、請求項1〜8のいずれか1項に記載の方法。
  10. 約50℃〜約1400℃の量の温度を前記フィーチャに加えることによってアニーリングすることをさらに含む、請求項9に記載の方法。
  11. 前記フィーチャが、前記プラズマプロセス中に部分的にのみ充填され、
    次にCVDプロセスを介して第2のコバルト層を堆積させ、前記フィーチャを完全に充填することをさらに含む、請求項1〜8のいずれか1項に記載の方法。
  12. 約50℃〜約1400℃の量の温度を前記フィーチャに加えることによってアニーリングすることをさらに含む、請求項11に記載の方法。
  13. 前記フィーチャの幅が15nm以下である、請求項1〜12のいずれか1項に記載の方法。
  14. 前記第1のコバルト層が、約20オングストローム〜約150オングストロームの厚さまで堆積される、請求項1〜13のいずれか1項に記載の方法。
  15. 基板上に膜を堆積させる装置であって、
    中央真空移送チャンバと、
    窒化チタンを堆積させるように構成され、前記中央真空移送チャンバに結合された化学気相堆積(CVD)および/または原子層堆積(ALD)プロセスチャンバと、
    コバルトを堆積させるように構成され、前記中央真空移送チャンバに結合された化学気相堆積(CVD)プロセスチャンバと、
    コバルトを堆積させるように構成され、前記中央真空移送チャンバに結合された物理的気相堆積(PVD)チャンバとを備える装置。
JP2020516638A 2017-09-21 2018-09-18 基板のフィーチャをコバルトで充填する方法および装置 Active JP7309697B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/711,169 2017-09-21
US15/711,169 US10304732B2 (en) 2017-09-21 2017-09-21 Methods and apparatus for filling substrate features with cobalt
PCT/US2018/051509 WO2019060296A1 (en) 2017-09-21 2018-09-18 METHODS AND APPARATUS USED TO FILL SUBSTRATE CHARACTERISTICS WITH COBALT

Publications (3)

Publication Number Publication Date
JP2020534702A true JP2020534702A (ja) 2020-11-26
JP2020534702A5 JP2020534702A5 (ja) 2021-10-28
JP7309697B2 JP7309697B2 (ja) 2023-07-18

Family

ID=65720533

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020516638A Active JP7309697B2 (ja) 2017-09-21 2018-09-18 基板のフィーチャをコバルトで充填する方法および装置

Country Status (6)

Country Link
US (1) US10304732B2 (ja)
JP (1) JP7309697B2 (ja)
KR (1) KR102572732B1 (ja)
CN (1) CN111133558B (ja)
TW (1) TWI782094B (ja)
WO (1) WO2019060296A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11410891B2 (en) 2019-08-26 2022-08-09 International Business Machines Corporation Anomaly detection and remedial recommendation
US11164815B2 (en) 2019-09-28 2021-11-02 International Business Machines Corporation Bottom barrier free interconnects without voids
US11776980B2 (en) * 2020-03-13 2023-10-03 Applied Materials, Inc. Methods for reflector film growth
US11527437B2 (en) 2020-09-15 2022-12-13 Applied Materials, Inc. Methods and apparatus for intermixing layer for enhanced metal reflow

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08213322A (ja) * 1994-10-12 1996-08-20 Applied Materials Inc イオン衝撃増強リフロー
JP2008141050A (ja) * 2006-12-04 2008-06-19 Ulvac Japan Ltd 半導体装置の製造方法及び半導体装置の製造装置
JP2015519725A (ja) * 2012-03-28 2015-07-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シームレスのコバルト間隙充填を可能にする方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
WO2003030224A2 (en) * 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20070184652A1 (en) 2006-02-07 2007-08-09 Texas Instruments, Incorporated Method for preparing a metal feature surface prior to electroless metal deposition
TW200746268A (en) * 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US20080132050A1 (en) * 2006-12-05 2008-06-05 Lavoie Adrien R Deposition process for graded cobalt barrier layers
KR20090103058A (ko) 2008-03-27 2009-10-01 주식회사 하이닉스반도체 반도체 소자 및 이의 제조 방법
US20090246952A1 (en) 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US8795487B2 (en) 2010-03-31 2014-08-05 Applied Materials, Inc. Physical vapor deposition chamber with rotating magnet assembly and centrally fed RF power
US8524600B2 (en) * 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US9499901B2 (en) 2012-01-27 2016-11-22 Applied Materials, Inc. High density TiN RF/DC PVD deposition with stress tuning
US9218980B2 (en) * 2013-09-13 2015-12-22 Applied Materials, Inc. Surface treatment to improve CCTBA based CVD co nucleation on dielectric substrate
CN105518827B (zh) 2013-09-27 2019-06-14 应用材料公司 实现无缝钴间隙填充的方法
US9349637B2 (en) * 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9741577B2 (en) * 2015-12-02 2017-08-22 International Business Machines Corporation Metal reflow for middle of line contacts
US10128151B2 (en) * 2016-12-16 2018-11-13 Globalfoundries Inc. Devices and methods of cobalt fill metallization
TWI758398B (zh) * 2017-01-24 2022-03-21 美商應用材料股份有限公司 用於在基板上形成鈷層的方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08213322A (ja) * 1994-10-12 1996-08-20 Applied Materials Inc イオン衝撃増強リフロー
JP2008141050A (ja) * 2006-12-04 2008-06-19 Ulvac Japan Ltd 半導体装置の製造方法及び半導体装置の製造装置
JP2015519725A (ja) * 2012-03-28 2015-07-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シームレスのコバルト間隙充填を可能にする方法

Also Published As

Publication number Publication date
US20190088540A1 (en) 2019-03-21
KR20200045563A (ko) 2020-05-04
TW201925532A (zh) 2019-07-01
CN111133558A (zh) 2020-05-08
TWI782094B (zh) 2022-11-01
JP7309697B2 (ja) 2023-07-18
US10304732B2 (en) 2019-05-28
WO2019060296A1 (en) 2019-03-28
KR102572732B1 (ko) 2023-08-29
CN111133558B (zh) 2024-04-02

Similar Documents

Publication Publication Date Title
KR102542758B1 (ko) 상호접속부를 위한 루테늄 금속 피처 충전
US7425506B1 (en) Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
CN106887380B (zh) 实现无缝钴间隙填充的方法
JP7309697B2 (ja) 基板のフィーチャをコバルトで充填する方法および装置
TWI520268B (zh) 高溫鎢金屬化製程
US6207222B1 (en) Dual damascene metallization
US6554914B1 (en) Passivation of copper in dual damascene metalization
US7618893B2 (en) Methods of forming a layer for barrier applications in an interconnect structure
KR20070045986A (ko) 낮은 K 금속간 유전체 및 에칭 스톱과의 통합을 위한무전해 Co 합금막 상에서의 산화를 환원시키고 접착력을강화시키는 방법
WO2012047913A2 (en) Subtractive patterning to define circuit components
TW201330175A (zh) 用於銅阻障層應用之摻雜的氮化鉭
US20190189508A1 (en) Metallic interconnect structures with wrap around capping layers
KR20140076514A (ko) Cu 배선의 형성 방법 및 기억 매체
JP2011216867A (ja) 薄膜の形成方法
TW201703148A (zh) Cu配線形成方法及半導體裝置之製造方法、記憶媒體
JP4169950B2 (ja) 半導体装置の製造方法
TWI515854B (zh) 用以分離合金元素並減少銅合金層之殘留電阻率之方法
US7767572B2 (en) Methods of forming a barrier layer in an interconnect structure
KR20180034265A (ko) 니켈 배선의 제조 방법
WO2021030074A1 (en) Methods and apparatus for hybrid feature metallization
TWI609095B (zh) 用於氮化錳整合之方法
JP2002329682A (ja) Cu薄膜作製方法
KR20230145215A (ko) 서브트랙티브 금속들 및 서브트랙티브 금속 반도체 구조들
JP2001015517A (ja) 半導体装置及びその製造方法
TW452959B (en) A novel hole-filling technique using CVD aluminium and PVD aluminum integration

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210916

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210916

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221116

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230215

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230605

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230705

R150 Certificate of patent or registration of utility model

Ref document number: 7309697

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150