CN110800095B - 用于半导体处理腔室中的气体输送的设备和方法 - Google Patents

用于半导体处理腔室中的气体输送的设备和方法 Download PDF

Info

Publication number
CN110800095B
CN110800095B CN201880041121.7A CN201880041121A CN110800095B CN 110800095 B CN110800095 B CN 110800095B CN 201880041121 A CN201880041121 A CN 201880041121A CN 110800095 B CN110800095 B CN 110800095B
Authority
CN
China
Prior art keywords
distribution plate
gas distribution
gas
passages
mandrel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880041121.7A
Other languages
English (en)
Other versions
CN110800095A (zh
Inventor
文森特·基尔霍夫
法鲁克·冈果尔
费利克斯·拉比诺维奇
加里·凯伯斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN110800095A publication Critical patent/CN110800095A/zh
Application granted granted Critical
Publication of CN110800095B publication Critical patent/CN110800095B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4871Bases, plates or heatsinks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D1/00Electroforming
    • C25D1/08Perforated or foraminous objects, e.g. sieves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electrochemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • General Engineering & Computer Science (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

用于在半导体处理系统中的气体输送的设备的实施方式使用具有多个气体通路的气体分配板,其中通路具有平均粗糙度小于或等于约10Ra的表面。在一些实施方式中,气体分配板具有一个或更多个内部流体通路,所述内部流体通路能够流体耦接至一个或更多个流体源,以提供对气体分配板的温度控制。在一些实施方式中,气体分配板具有至少一个内部空腔,内部空腔具有至少一个散热器,所述散热器可以围绕多个气体通路的至少一者,以至少部分地提供对气体分配板的温度控制。

Description

用于半导体处理腔室中的气体输送的设备和方法
技术领域
本公开内容的实施方式大体涉及用于半导体制造系统中的半导体处理腔室中的气体输送。
背景技术
在半导体处理腔室(例如,沉积腔室、蚀刻腔室、或类似者)中使用的传统喷头通常包括将气体流入半导体处理腔室的气体输送装置或“喷头”。这些气体用于各种处理目的,诸如将材料沉积到放置在处理腔室中的基板上。输送气体参数(诸如,压力、温度、和速度)影响腔室中的基板的处理。通过喷头的流率(flow rate)和流体动力影响输送气体参数。由于通路的小尺寸,当前的制造技术建立通过喷头的顺畅流体流动通路的能力有限。
因此,发明人提供在半导体处理腔室中用于增强气体输送的改善方法。
发明内容
本文提供用于半导体处理系统中的气体输送的设备的实施方式。在一些实施方式中,一种用于在半导体处理系统中的气体输送的设备包括具有多个气体通路的气体分配板,多个气体通路的至少一者具有粗糙度小于或等于约10Ra的表面。
在一些实施方式中,一种处理腔室包括腔室主体和凸缘,腔室主体具有基板支撑件和喷头,基板支撑件设置于腔室主体的内部处理容积内,喷头与基板支撑件相对设置于腔室主体的内部处理容积内,其中喷头包括具有多个气体通路的气体分配板,多个气体通路的至少一者具有粗糙度小于或等于约10Ra的表面,凸缘与气体分配板的周边接合,以针对安装到处理腔室的部件提供支撑。
在一些实施方式中,一种形成气体输送设备的方法包括以下步骤:提供具有导电底座的心轴(mandrel),以在导电底座上形成气体分配板,将至少一个销与心轴接合,所述销具有小于或等于约10Ra的平均表面粗糙度,将镍材料电铸到心轴上,以形成气体分配板,从心轴移除气体分配板,以及将气体分配板电铸到凸缘,以形成气体输送设备。
附图说明
上文简要概述且下文更详细论述的本公开内容的实施方式可参照本公开内容的说明性实施方式来理解,这些说明性实施方式图示于附图中。然而,附图仅绘示本公开内容的典型实施方式,且因此不应视为限制本公开内容的范围,因为本公开内容可允许其他等效的实施方式。
图1图示根据本案原理的一些实施方式的处理腔室的示意性截面图。
图2图示根据本案原理的一些实施方式的用于气体输送的设备的示意性截面图。
图3图示根据本案原理的一些实施方式的具有非导电永久销的心轴的示意性截面图。
图4a图示根据本案原理的一些实施方式的具有非导电永久销和管状物(tube)的心轴的示意性截面图。
图4b图示根据本案原理的一些实施方式的在形成用于气体输送的设备之后的具有非导电永久销和管状物的心轴的示意性截面图。
图5图示根据本案原理的一些实施方式的具有非导电可弃式销的心轴的示意性截面图。
图6图示根据本案原理的一些实施方式的具有导电销的心轴的示意性截面图。
图7为图解形成根据本案原理的一些实施方式的用于气体输送的设备的方法的流程图。
为便于理解,在可能的情况下,使用相同的元件符号来表示诸图中共有的相同元件。为清楚起见,诸图未按比例绘制且可简化。一个实施方式的元件和特征可以有利地并入其他实施方式,而不另外详述。
具体实施方式
发明人已观察到传统气体输送设备具有高表面粗糙度的气体通路或“孔”。由通路内的表面粗糙度造成的气体湍流可能导致不期望的处理结果(诸如,不均匀的沉积和蚀刻)。本案原理的实施方式有利地提供一种具有减少的气体通路表面粗糙度的气体输送设备,这导致在处理期间较少的湍流气体输送。此外,气体输送设备亦可以有利地在处理期间控制气体输送设备的热性质,以提供更高品质的产品。在一些实施方式中,设备可以有利地提供用作喷头的“面板”的具有多个通路的气体分配板,多个通路提供更顺畅且更均匀的气体流率,且在一些实施方式中,具有更均匀的温度控制。在一些实施方式中,设备可以有利地提供用作喷头的“阻隔板(blocker plate)”的具有多个通路的气体分配板,多个通路提供更顺畅且更均匀的气体流率,且在一些实施方式中,具有更均匀的温度控制。
图1图示根据本案原理的一些实施方式的适合与用于气体输送的设备结合使用的处理腔室100。举例而言,其他合适的腔室包括任何腔室,这些腔室结合气体输送设备(诸如,例如,喷头),以执行基板制造处理。
在一些实施方式中,处理腔室100通常包括腔室主体102,腔室主体102限定内部处理容积104和排放容积106。举例而言,内部处理容积104可以限定于基板支撑件108与一个或更多个气体入口(诸如,喷头114和/或在预定位置处提供的喷嘴)之间,基板支撑件108设置于处理腔室100内以用于在处理期间支撑其上的基板110。举例而言,排放容积可以限定于基板支撑件108与处理腔室100的底部之间。
基板支撑件108通常包括主体143,主体143具有用于支撑其上的基板110的基板支撑表面141。在一些实施方式中,基板支撑件108可包括在基板支撑件108的表面上保持或支撑基板110的设备(诸如,静电卡盘、真空卡盘、基板保持夹具(substrate retainingclamp)、或类似者)(未示出)。
在一些实施方式中,基板支撑件108可包括射频(RF)偏压电极168。RF偏压电极168可以通过一个或更多个相应的匹配网络耦接至一个或更多个RF偏压功率源(图1所示的一个RF偏压功率源148A和一个匹配网络146A)。一个或更多个偏压功率源能够以约2MHz、或约13.56MHz、或约60MHz的频率产生多达12000W。在一些实施方式中,可以提供两个偏压功率源,以用于以约2MHz和约13.56MHz的频率将RF功率通过相应的匹配网络耦接至RF偏压电极。在一些实施方式中,可以提供三个偏压功率源,以用于以约2MHz、约13.56MHz、和约60MHz的频率将RF功率通过相应的匹配网络耦接至RF偏压电极。至少一个偏压功率源可以提供连续功率或脉冲功率。在一些实施方式中,偏压功率源可以是DC源或脉冲DC源。
在一些实施方式中,基板支撑件108可包括用于控制基板支撑表面141和设置在其上的基板110的温度的一个或更多个机制。举例而言,可以提供一个或更多个沟道(未示出),以在基板支撑表面下方限定一个或更多个流动路径,以使类似于下文关于喷头114描述的传热介质(heat transfer medium)流动。
一个或更多个气体入口(例如,喷头114)可以耦接至气体供应器116,以用于将一种或更多种处理气体提供到处理腔室100的内部处理容积104中。尽管图示喷头114,但是可以提供附加气体入口(诸如,设置在处理腔室100的顶板中或侧壁上的喷嘴或入口,或者设置在适合将气体提供到处理腔室100的其他位置(诸如,处理腔室的底座、基板支撑件的周边、或类似者)处的喷嘴或入口)。
在一些实施方式中,一个或更多个RF等离子体功率源(所示的一个RF等离子体功率源148B)可以通过一个或更多个匹配网络146B耦接至处理腔室100,以提供用于处理的功率。在一些实施方式中,处理腔室100可以利用提供到上部电极的电容耦合RF功率,上部电极靠近处理腔室100的上部部分。上部电极可以是在处理腔室100的上部部分中的导体,或至少部分地通过顶板142、喷头114、或类似者之一或更多者所形成,并由适当的导电材料制成。举例而言,在一些实施方式中,一个或更多个RF等离子体功率源148B可以耦接至处理腔室100的顶板142的导电部分或者耦接至喷头114的导电部分。顶板142可以是实质上平坦的,但是亦可以利用其他类型的顶板(诸如,圆顶形(dome-shaped)顶板或类似者)。一个或更多个等离子体源能够在约2MHz和/或约13.56MHz的频率或更高频率(诸如,27MHz,和/或60MHz,和/或162MHz)下产生多达5000W。在一些实施方式中,两个RF功率源可以通过相应的匹配网络耦接至上部电极,以用于提供约2MHz和约13.56MHz的频率的RF功率。或者,一个或更多个RF功率源可以耦接至靠近处理腔室100的顶板设置的感应线圈元件(未示出),以形成具有电感耦合RF功率的等离子体。
在一些实施方式中,内部处理容积104可以流体耦接至排放系统120。排放系统120可以促进来自处理腔室100的内部处理容积104的排放气体的均匀流动。排放系统120通常包括泵送充气部(pumping plenum)124和多个导管(未示出),多个导管将泵送充气部124耦接至处理腔室100的内部处理容积104。导管具有耦接至内部处理容积104(或者,在一些实施方式中,排放容积106)的入口122,并具有流体耦接至泵送充气部124的出口(未示出)。举例而言,导管可具有设置于侧壁的下部区域或处理腔室100的底板中的入口122。在一些实施方式中,入口实质上为等距间隔的。
真空泵128可以经由泵送口126耦接至泵送充气部124,以用于将排放气体从处理腔室100泵送出去。真空泵128可以流体耦接至排放出口132,以用于将排放引导到适当的排放操控装备。阀130(诸如,闸阀(gate valve)或类似者)可以设置于泵送充气部124中,以结合真空泵128的操作来促进控制排放气体的流率。尽管图示z运动闸阀,但是可以利用用于控制排放的流动的任何合适的与工艺兼容的阀。
在操作中,基板110可以经由腔室主体102中的开口112进入处理腔室100。可以经由狭缝阀118或其他设备选择性地密封开口112,以通过开口112选择性提供进入腔室的内部的出入口。基板支撑件108可以耦接至升降设备134,升降设备134可以控制基板支撑件108在下部位置与可选择的上部位置之间的位置,下部位置适于经由开口112将基板传送进出腔室(如图所示),而可选择的上部位置适合用于处理。可以选择处理位置,以最大化具体处理步骤的处理均匀性。当处于升高的处理位置时,基板支撑件108可以设置在开口112上方,以提供对称的处理区域。在将基板110设置于处理腔室100内之后,可以将腔室泵送成适于形成等离子体的压力,并且可以经由喷头114(和/或其他气体入口)将一种或更多种处理气体引入腔室中。可以提供RF功率,以撞击并维持来自处理气体的等离子体,而处理基板。
在处理期间(诸如,在上述示例中),可以控制喷头114的温度,以跨越喷头114面向基板的表面提供更均匀的温度分布。喷头114可包括用于控制喷头114的温度的一个或更多个机构。举例而言,在一些实施方式中,一个或更多个流体通路可以设置在喷头114的内部,以进一步促进控制用作喷头114的面板160的本案原理的气体分配板的温度。在一些实施方式中,喷头114亦包括作为可选择的阻隔板161的本案原理的气体分配板,阻隔板161亦可包括一个或更多个流体通路,以促进控制阻隔板的温度。
此外,可以在喷头114的面板160中提供第一组的一个或更多个沟道140,以限定一个或更多个流动路径(下文更全面地描述),以让传热介质流经一个或更多个沟道140。可以在喷头114的可选择的阻隔板161中可选择地提供第二组的一个或更多个沟道162,以限定一个或更多个流动路径(下文更全面地描述),以让传热介质流经可选择的阻隔板161。传热介质可包括适于将足够的热传导提供至喷头114的部件(例如,阻隔板、面板等)或从所述部件提供足够的热传导的任何流体。举例而言,传热介质可以是气体(诸如,氦气(He)、氧气(O2)、或类似者)或液体(诸如,水、防冻剂、或醇(例如,甘油、乙烯甘油、丙烯、甲醇、或制冷剂流体(诸如,(例如,氯氟烃或氢氯氟烃制冷剂))、氨、或类似者))。可选择的阻隔板161与面板160可以具有不同的传热介质和/或不同的传热参数(诸如,例如,流率)。
传热介质源136可以耦接至沟道140、162,以将传热介质提供到一个或更多个沟道140、162。传热介质源136可包括温度控制设备(例如,冷却器或加热器),以控制传热介质的温度。可以在传热介质源136与一个或更多个沟道140、162之间提供一个或更多个阀139(或其他流量控制装置),以独立地控制传热介质流动到一个或更多个沟道140、162的速率。控制器137可以控制一个或更多个阀139和/或传热介质源136的操作。
在一些实施方式中,一个或更多个散热器(未示出)可以嵌入喷头114中(包括例如在面板160或可选择的阻隔板161中)。散热器有助于稳定面板160或可选择的阻隔板161的温度。散热器可以由与用于制造喷头114(包括面板或阻隔板)的材料不同的材料制成。在一些实施方式中,散热器至少部分地由铜基材料制成。
以下示例说明气体分配板用作半导体处理设备的喷头中的面板的实施方式。然而,其他实施方式将气体分配板用作半导体处理设备的喷头内部的阻隔板。在两种类型的实施方式中,气体通路和传热通路的形成是类似的,因此,为了简洁起见,图示气体分配板用作面板的实例。然而,本案原理的技术亦可用于形成阻隔板与其他类型的气体分配板。
图2图示根据本案原理的一些实施方式的用于气体输送的设备200的示意性截面图。设备200(例如,“喷头”)具有与气体分配板204接合的凸缘202。在一些实施方式中,凸缘202具有大致均匀的厚度。设备200可包括以临时(例如,螺钉、夹具等)或永久方式(例如,冷焊等)连接的两个单独的件。设备200亦可包括单件,单件包括凸缘202和气体分配板204。气体分配板204包括具有内表面的至少一个气体通路206或“孔”。当流体穿过通路时,流体(例如,气体、液体等)受到通路的影响。
影响可包括影响流体速度(例如,减少、增加)、流体密度(例如,膨胀、压缩)、和流体温度(例如,增加、减少)。通路亦可影响流体的层流(laminar flow)。若通路的内表面是粗糙的,则层流将被破坏,而造成湍流流体输送到处理腔室100中。湍流可能造成不均匀的气体输送,而对于处理腔室100内的基板处理具有负面影响。湍流会影响流体参数(诸如,密度、速度、和温度)。在一些实施方式中,具有减少的通路表面粗糙度的用于气体输送的设备有利地提供参数(诸如,流体密度、速度、和温度)的均匀性,而增加基板处理的品质。可以实现气体分配板通路的内表面的小于或等于约10Ra的以微英寸为单位的平均表面粗糙度(Ra)。诸如,通过利用材料(例如,玻璃和其他材料),能够实现气体分配板通路的内表面的小于或等于约2Ra的平均表面粗糙度(Ra)。此外,发明人亦发现,本案原理的处理有利地在具有变化内径的通路之间提供更顺畅的过渡。
可以利用多种方式形成具有改善的通路表面粗糙度的设备200。为简洁起见,以下示例实施方式利用称为电铸的处理。电铸在电镀浴(plating bath)中使用电化学和添加剂来制造零件。金属离子通过电解质从阳极电化学转移到金属离子作为原子沉积的表面。在电铸中,对表面进行处理,而使得金属离子不会粘附。表面被称为“心轴”。心轴作为电镀浴中的阴极。心轴可为永久的(因为心轴可以一次又一次地重复使用),或者心轴可以是可弃式的(因为可将心轴破坏以在形成零件之后释放电铸零件)。在一些实施方式中,气体分配板204由至少两种不同的材料(诸如,例如,镍和铜)制成。
图3图示根据本案原理的一些实施方式的具有非导电且永久的销304的心轴300的示意性截面图。心轴300为可用于电铸处理的形成物或装配架(jig)的示例,以产生例如图2的设备200。在一些实施方式中,心轴300具有底座302,底座302具有销304,销304由非导电材料制成(在电铸期间不会吸引金属离子),并且可以重复使用(永久)。举例而言,销304可以由材料(诸如,玻璃、塑料(包括尼龙及挤压尼龙(例如,钓鱼线(fishing line)))等)形成。举例而言,销304可以是具有不同直径的尼龙钓鱼线,以穿过心轴300的底座302中的孔拧入,并环绕穿过或附接至架空纺织设备(overhead loom apparatus),以在电铸处理期间作为“销”。销或钓鱼线通常与底座302成直角定向,但是在一些实施方式中,可以使用其他角度,以针对气体输送设备提供不同的气体输送角度。由于玻璃的低表面粗糙度,玻璃棒亦可用作销304的材料。可以实现小于或等于2的平均表面粗糙度或Ra。
电铸处理用于形成气体分配板204,气体分配板204在底座302上和销304周围实质上均匀。因为销304为非导电的,所以用于电铸处理的材料并不会被销304吸引。并未吸引允许材料以稍微均匀的厚度在底座302上积聚,以形成气体分配板204。销304亦可以容易地与气体分配板分离,并且在销304不需要牺牲来从心轴300移除气体分配板204,且可以重新用于制造附加气体分配板的意义上,销304为“永久的”。气体分配板可以从心轴300上移除并使用,或者气体分配板可以进一步处理(诸如,加工表面和/或确保均匀的厚度)。气体分配板亦可以加工成适当地接合凸缘202,和/或可以冷焊到凸缘202上。
图4a图示根据本案原理的一些实施方式的具有非导电且永久的销304和管状物404a、404b、404c的心轴400a的示意性截面图。心轴400a包括图3中的底座302和销304。电铸处理已将第一材料层402沉积到心轴400a上。销304在第一材料层402中产生具有第一直径405的通路。在继续电铸处理之前,可以在继续之前移除第一材料层402或将其留于原位,并加工或以其他方式处理第一材料层402。附加处理可包括但不限于加工第一材料层402的顶表面,以实现第一材料层402的均匀厚度。在处理之后,第一材料层402可以返回到心轴400a,以进行附加电铸。
管状物404a在管状物404a的中心中具有圆柱形开口,圆柱形开口略大于销304的第一直径405。开口允许管状物404a在销304上方滑动,并与第一材料层402接合。一旦将管状物404a放置在销304上方,则心轴400a现在可用于形成直径等于第二直径407的气体通路,第二直径407为管状物404a的外径。可选择的管状物404b、404c为可用于允许容易地从所形成的气体分配板(可重复使用或“永久的”)移除的其他形状的示例。可选择的管状物404b、404c的形状允许在气体分配板的通路内从第一直径405到第二直径407的更顺畅的过渡。亦可以利用其他形状(例如,正方形、椭圆形、沙漏形(hour-glass)等)来代替所绘示的示例。
图4b图示根据本案原理的一些实施方式的在形成用于气体输送的设备之后的具有非导电且永久的销304且具有管状物404a的心轴400b的示意性截面图。仅用于说明的目的,心轴400b使用管状物404a(可选择的管状物404b、404c和/或所示及未示出的管状物变体的组合亦可使用)。电铸处理已将第二材料层406沉积到第一材料层402上。一旦将心轴400b移除,则组合的第一材料层402与第二材料层406形成气体分配板。示例中的气体分配板将具有两种不同直径的气体通路。变化的直径可用于改变气体压力、温度、和所输送气体的速度。气体分配板可以从心轴400b上移除并使用,或者气体分配板可以进一步处理(诸如,加工表面和/或确保均匀的厚度)。气体分配板亦可以加工成适当地接合凸缘202,和/或可以冷焊到凸缘202以作为面板。气体分配板亦可以整合作为阻隔板。
图5图示根据本案原理的一些实施方式的具有非导电且为可弃式的销504的心轴500的示意性截面图。在一些实施方式中,心轴500具有底座502,底座502具有销504。因为销504为非导电的,所以电铸处理将稍微均匀的材料层506分配到心轴500上。由于销504的形状,因此销504无法容易地从材料层506移除,所以销504被制成可弃式。销504将在电铸处理之后牺牲。可以通过加热(例如,基于蜡的销)、通过蚀刻(例如,使用仅蚀刻用于销504的材料的蚀刻剂)、和其他化学或机械手段来实现销504的移除。
气体分配板可以从心轴500上移除并使用,或者气体分配板可以进一步处理(诸如,加工表面和/或确保均匀的厚度)。气体分配板亦可以加工成适当地接合凸缘202,和/或可以冷焊到凸缘202上。
图6图示根据本案原理的一些实施方式的具有由导电材料制成的销604的心轴600的示意性截面图。心轴600具有底座602,底座602具有销604,销604将在电铸处理中吸引金属离子。尽管销604的直径在图示中是均匀的,但是直径亦可以是不均匀的(弯曲形状、成角度形状、沙漏形状等)。在一些实施方式中,使用电铸处理沉积第一材料层606。由于销604吸引金属离子,因此销604和底座602被涂布有一层金属。处理在销604周围形成粗糙的空心锥体605。在一些电铸处理中,可以使用物质(诸如,例如,蜡或可以从所形成的件移除的其他物质)来填充围绕粗糙的空心锥体605的一个或更多个空腔610。通过选择性填充空腔610,可以实现流体通路的各种配置,以允许气体分配板的温度控制。举例而言,在气体分配板的外边缘附近形成流体通路能够控制气体分配板的边缘温度。举例而言,类似地,在气体分配板的中心附近形成流体通路能够控制气体分配板的中心温度。
在继续电铸处理之前,可以在继续之前移除第一材料层606或将其留于原位,并加工或以其他方式处理。附加处理可包括但不限于加工第一材料层606的顶表面,以实现第一材料层606的均匀厚度。在处理之后,第一材料层606可以返回到心轴600,以进行附加电铸。
然后,在物质和第一材料层606上方电铸第二材料层608。可以在空腔中的物质上使用金属涂层,以吸引金属离子来形成实质上均匀的层。举例而言,金属涂层可以在电铸之前喷涂在物质上。在一些实施方式中,第二材料层608可以进行加工,以移除可能在形成第二材料层608期间由金属离子吸引到销604所造成的任何厚度不均匀性。在一些实施方式中,销604的超出第一材料层606的顶表面的部分607可以由非导电材料制成,而有助于第二层的均匀性,并可能需要进一步加工。
气体分配板可以从心轴600上移除并使用,或者气体分配板可以进一步处理(诸如,加工表面和/或确保均匀的厚度)。气体分配板亦可以加工成适当地接合凸缘202,和/或可以冷焊到凸缘202以作为面板。亦可以利用气体分配板,作为阻隔板。
在一些实施方式中,可以沿着用于气体输送的设备的周边而使用如上所述的类似处理来构造空腔612。内部销可为非导电的,以产生均匀的第一材料层,而外导电部件可以用于沿着周边产生流体沟道。导电和非导电部件的策略性使用可以用于在气体分配板中选择性产生空腔。在一些实施方式中,可以利用散热器材料(heatsink material)填充在电铸处理期间形成于通路周围的空腔。处理允许散热器嵌入贯穿气体分配板(例如,围绕一个或更多个通路)中或嵌入于选择性位置中,而有助于实现气体分配板的均匀温度控制。散热器材料可以保持暴露(例如,没有形成第二材料层608)或部分嵌入(例如,形成第二材料层608)。在一些实施方式中,可以产生气体分配板中的散热器与流体通路的组合,以控制气体分配板的温度。
图7为图解形成根据本案原理的实施方式的用于气体输送的设备的方法700的流程图。所述方法首先提供具有导电底座的心轴,以形成气体分配板(702)。导电底座通常有助于形成气体分配板的一个表面和气体分配板的大概形状。心轴亦可包括紧固构件,以固定用于在气体分配板中形成通路的销。举例而言,紧固构件可包括用于穿过线拧入的孔(例如,用作形成通路的销的钓鱼线或尼龙线)、针对销的凹部(例如,玻璃棒的摩擦保持、塑料销等)、和/或螺钉或其他永久或半永久的紧固构件。然后,确定气体分配板的通路形状(704)。形状可包括但不限于圆柱体、沙漏(收窄的中心)、具有阶梯直径的圆柱体、具有逐渐减少的直径的圆柱体、和/或具有突然变化的直径的圆柱体。形状并不限于圆柱形。利用本案原理的实施方式亦可以实现方形、三角形、椭圆形、和/或其他形状的通路。然后,依据通路形状将销与心轴接合(706)。在一些实施方式中,销可以具有多个零件(例如,销和管状物等),并且可为导电或非导电的,或是导电及非导电部分的组合,并且可以是永久的或可弃式的,以在气体分配板中产生通路。
然后,在心轴上执行电铸处理(708)。电铸处理可包括多个电铸处理,以形成相似材料或不同材料的多层,或在气体分配板内形成空腔,或将散热器嵌入气体分配板中。可以在多个层之一或更多者之间执行附加加工或其他处理。然后,将气体分配板从心轴释放(710)。在释放之后,可以执行进一步的加工,或者可以在不加工的情况下使用气体分配板。可以蚀刻掉或以其他方式移除销或管状物的可弃式部分。在处理期间使用的蜡或其他可移除物质亦可以从内部和/或外部空腔和类似者中移除。
不需要执行方法700的每一个方块,并且可以不按顺序执行一些方块。亦可以重复一些方块。
尽管上述内容针对本公开内容的实施方式,但在不背离本公开内容的基本范围的情况下,可设计出本公开内容的其他和进一步的实施方式。

Claims (11)

1.一种用于半导体处理系统中的气体输送的设备,包括:
气体分配板,所述气体分配板具有多个气体通路,所述多个气体通路的至少一者具有粗糙度小于或等于10Ra的表面;
至少一个空腔,所述至少一个空腔在所述气体分配板内部围绕所述多个气体通路的一者,其中所述至少一个空腔与所述多个气体通路的任一者流体分离;和
电铸散热器材料,所述电铸散热器材料仅部分地填充所述至少一个空腔。
2.如权利要求1所述的设备,其中所述气体分配板为所述半导体处理系统的喷头中的阻隔板。
3.如权利要求1所述的设备,其中所述气体分配板具有围绕所述气体分配板的周边的内部流体通路,所述内部流体通路能够流体耦接至流体源,以提供对所述气体分配板的温度控制。
4.如权利要求1所述的设备,其中所述多个气体通路的至少一者具有粗糙度小于或等于2Ra的表面。
5.如权利要求1所述的设备,进一步包括:
凸缘,所述凸缘与所述气体分配板的周边接合,以针对安装在所述半导体处理系统中提供支撑。
6.如权利要求5所述的设备,其中所述气体分配板与所述凸缘通过冷焊接合。
7.一种处理腔室,包括:
腔室主体,所述腔室主体具有设置于所述腔室主体的内部处理容积内的基板支撑件;和
喷头,所述喷头与所述基板支撑件相对设置于所述腔室主体的内部处理容积内,所述喷头包括:
至少一个气体分配板,所述至少一个气体分配板具有多个气体通路,所述多个气体通路的至少一者具有粗糙度小于或等于10Ra的表面;
至少一个空腔,所述至少一个空腔在所述气体分配板内部围绕所述多个气体通路的一者,其中所述至少一个空腔与所述多个气体通路的任一者流体分离;
电铸散热器材料,所述电铸散热器材料仅部分地填充所述至少一个空腔;和
凸缘,所述凸缘与所述至少一个气体分配板的至少一者的周边接合,以针对安装到所述处理腔室的部件提供支撑。
8.如权利要求7所述的处理腔室,其中所述至少一个气体分配板的至少一者和所述凸缘为单件。
9.如权利要求7所述的处理腔室,其中所述至少一个气体分配板的至少一者具有围绕所述至少一个气体分配板的所述至少一者的周边的内部流体通路,所述内部流体通路能够流体耦接至流体源,以提供对所述至少一个气体分配板的所述至少一者的温度控制。
10.如权利要求7所述的处理腔室,其中所述多个气体通路的至少一者具有粗糙度小于或等于2Ra的表面。
11.一种形成权利要求1-6中任一项所述的用于半导体处理系统中的气体输送的设备的方法,包括以下步骤:
提供具有导电底座的心轴,以在所述导电底座上形成气体分配板;
将至少一个销与所述心轴接合,其中所述销具有小于或等于10Ra的平均表面粗糙度;
将镍材料电铸到所述心轴上,以形成所述气体分配板;和
从所述心轴移除所述气体分配板。
CN201880041121.7A 2017-06-05 2018-05-31 用于半导体处理腔室中的气体输送的设备和方法 Active CN110800095B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/613,855 US11380557B2 (en) 2017-06-05 2017-06-05 Apparatus and method for gas delivery in semiconductor process chambers
US15/613,855 2017-06-05
PCT/US2018/035355 WO2018226503A1 (en) 2017-06-05 2018-05-31 Apparatus and method for gas delivery in semiconductor process chambers

Publications (2)

Publication Number Publication Date
CN110800095A CN110800095A (zh) 2020-02-14
CN110800095B true CN110800095B (zh) 2023-10-13

Family

ID=64458906

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880041121.7A Active CN110800095B (zh) 2017-06-05 2018-05-31 用于半导体处理腔室中的气体输送的设备和方法

Country Status (7)

Country Link
US (1) US11380557B2 (zh)
EP (1) EP3635776A4 (zh)
JP (1) JP7219233B2 (zh)
KR (1) KR102530955B1 (zh)
CN (1) CN110800095B (zh)
TW (1) TWI821188B (zh)
WO (1) WO2018226503A1 (zh)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0744050U (ja) * 1989-12-18 1995-10-24 ウェスティングハウス、ブレイク、アンド、シグナル、ホールディングス、リミテッド 半導体装置用ハウジング
JPH10125651A (ja) * 1996-10-17 1998-05-15 Shin Etsu Chem Co Ltd 多孔電極板
JP2013503490A (ja) * 2009-08-27 2013-01-31 アプライド マテリアルズ インコーポレイテッド ガス分配シャワーヘッドおよび洗浄方法

Family Cites Families (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3022232A (en) * 1958-05-26 1962-02-20 Caterpillar Tractor Co Method and apparatus for simultaneously plating and lapping
US2959355A (en) * 1958-07-25 1960-11-08 Sandberg Serrell Corp Nozzle
US3024519A (en) * 1960-07-19 1962-03-13 Bendix Corp Cold weld semiconductor housing
US3461045A (en) * 1965-10-21 1969-08-12 Teletype Corp Method of plating through holes
US4745670A (en) * 1980-10-28 1988-05-24 Rockwell International Corporation Method for making chemical laser nozzle arrays
US4530739A (en) 1984-03-09 1985-07-23 Energy Conversion Devices, Inc. Method of fabricating an electroplated substrate
CA1272661A (en) * 1985-05-11 1990-08-14 Yuji Chiba Reaction apparatus
US4864329A (en) * 1988-09-22 1989-09-05 Xerox Corporation Fluid handling device with filter and fabrication process therefor
JPH0422601A (ja) * 1990-05-18 1992-01-27 Kobe Steel Ltd 貫通孔を有する成形体並びにその製造方法及び装置
US5255017A (en) * 1990-12-03 1993-10-19 Hewlett-Packard Company Three dimensional nozzle orifice plates
US5277783A (en) * 1991-05-15 1994-01-11 Brother Kogyo Kabushiki Kaisha Manufacturing method for orifice plate
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6514376B1 (en) * 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5352108A (en) * 1991-10-18 1994-10-04 Norito Sudo Porous film and porous film manufacturing apparatus
US5249358A (en) * 1992-04-28 1993-10-05 Minnesota Mining And Manufacturing Company Jet impingment plate and method of making
US5317805A (en) * 1992-04-28 1994-06-07 Minnesota Mining And Manufacturing Company Method of making microchanneled heat exchangers utilizing sacrificial cores
US5309322A (en) * 1992-10-13 1994-05-03 Motorola, Inc. Leadframe strip for semiconductor packages and method
EP0620702B1 (de) * 1993-04-16 1999-01-20 Dyconex Patente Ag Kern für elektrische Verbindungssubstrate und elektrische Verbindungssubstrate mit Kern, sowie Verfahren zu deren Herstellung
US5433835B1 (en) * 1993-11-24 1997-05-20 Applied Materials Inc Sputtering device and target with cover to hold cooling fluid
US5487822A (en) * 1993-11-24 1996-01-30 Applied Materials, Inc. Integrated sputtering target assembly
CN1072116C (zh) * 1995-04-14 2001-10-03 佳能株式会社 液体喷射头的制作方法
US5968379A (en) * 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
JPH09289195A (ja) 1996-04-22 1997-11-04 Nisshinbo Ind Inc プラズマエッチング電極
JP3372765B2 (ja) * 1996-07-12 2003-02-04 キヤノン株式会社 液体吐出ヘッド、ヘッドカートリッジ、液体吐出装置、記録システム、ヘッドキット、および液体吐出ヘッドの製造方法
US6051286A (en) * 1997-02-12 2000-04-18 Applied Materials, Inc. High temperature, high deposition rate process and apparatus for depositing titanium layers
US6189482B1 (en) * 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
US5983906A (en) * 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
AUPO794797A0 (en) * 1997-07-15 1997-08-07 Silverbrook Research Pty Ltd A device (MEMS07)
JPH11104950A (ja) 1997-10-03 1999-04-20 Shin Etsu Chem Co Ltd 電極板及びその製造方法
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
WO2000014782A1 (fr) * 1998-09-03 2000-03-16 Nippon Sanso Corporation Dispositif d'apport de grande quantite de gaz de traitement de semiconducteurs
RU2151066C1 (ru) * 1998-11-03 2000-06-20 Самсунг Электроникс Ко., Лтд. Узел пластины сопла микроинжектора и способ его изготовления
US6214192B1 (en) * 1998-12-10 2001-04-10 Eastman Kodak Company Fabricating ink jet nozzle plate
US6399499B1 (en) * 1999-09-14 2002-06-04 Jeong Gey Lee Method for fabricating an electrode of a plasma chamber
US6585759B1 (en) * 1999-12-16 2003-07-01 Israel Aircraft Industries Ltd. Method and apparatus for manufacturing medical support devices
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6892802B2 (en) * 2000-02-09 2005-05-17 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Crossflow micro heat exchanger
US6415860B1 (en) * 2000-02-09 2002-07-09 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Crossflow micro heat exchanger
WO2001071065A1 (fr) 2000-03-22 2001-09-27 Citizen Watch Co., Ltd. Structure à trous et procédé de fabrication
JP2003529926A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
US20020086529A1 (en) * 2001-01-03 2002-07-04 Mcfeely Fenton Read Actively cooled dispenser system for improved resistivity and phase control in metal CVD from organometallic precursors
US20020112954A1 (en) * 2001-02-16 2002-08-22 Associated Plating Co. Plating rack for plating procedures and processes for making and using same
US20020162741A1 (en) * 2001-05-01 2002-11-07 Applied Materials, Inc. Multi-material target backing plate
EP1404501B1 (en) * 2001-06-05 2012-08-01 Mikro Systems Inc. Method and mold system for manufacturing three-dimensional devices
EP1295647A1 (en) * 2001-09-24 2003-03-26 The Technology Partnership Public Limited Company Nozzles in perforate membranes and their manufacture
US7780789B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US20030124842A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6659331B2 (en) * 2002-02-26 2003-12-09 Applied Materials, Inc Plasma-resistant, welded aluminum structures for use in semiconductor apparatus
DE10317872A1 (de) * 2002-04-18 2004-01-08 Hitachi Printing Solutions, Ltd., Ebina Tintenstrahlkopf und Verfahren zu seiner Herstellung
US6988534B2 (en) * 2002-11-01 2006-01-24 Cooligy, Inc. Method and apparatus for flexible fluid delivery for cooling desired hot spots in a heat producing device
US20040051211A1 (en) * 2002-09-12 2004-03-18 Xerox Corporation Production of seamless belts and seamless belt products
JP4260450B2 (ja) * 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
US6986382B2 (en) * 2002-11-01 2006-01-17 Cooligy Inc. Interwoven manifolds for pressure drop reduction in microchannel heat exchangers
US7000684B2 (en) * 2002-11-01 2006-02-21 Cooligy, Inc. Method and apparatus for efficient vertical fluid delivery for cooling a heat producing device
NL1023005C2 (nl) * 2002-11-12 2004-05-13 Stork Prints Bv Zeefmateriaal, werkwijze voor de vervaardiging en toepassingen daarvan.
US20040125563A1 (en) * 2002-12-31 2004-07-01 Vrtis Joan K. Coating for a heat dissipation device and a method of fabrication
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US6921437B1 (en) * 2003-05-30 2005-07-26 Aviza Technology, Inc. Gas distribution system
EP1644111A4 (en) * 2003-06-27 2011-02-09 Ultracell Corp ANNULAR FUEL TRANSFORMATION DEVICE AND ASSOCIATED METHODS
US20050008908A1 (en) * 2003-06-27 2005-01-13 Ultracell Corporation Portable fuel cartridge for fuel cells
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
WO2006006682A1 (ja) * 2004-07-15 2006-01-19 Ricoh Company, Ltd. 液体吐出ヘッド及びその製造方法、画像形成装置、液体吐出ヘッドのノズル部材、撥インク膜形成方法、液体吐出ヘッド、カートリッジ、及び液体吐出記録装置
NL1026752C2 (nl) * 2004-07-30 2006-02-02 Stork Veco Bv Vernevelplaat voor het vernevelen van een fluïdum, werkwijze voor het vervaardigen van een vernevelplaat en toepassing van een vernevelplaat.
WO2006020424A2 (en) * 2004-08-02 2006-02-23 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
US7507670B2 (en) * 2004-12-23 2009-03-24 Lam Research Corporation Silicon electrode assembly surface decontamination by acidic solution
KR20080014778A (ko) * 2005-04-18 2008-02-14 호쿠리쿠세이케고교 가부시키가이샤 샤워 플레이트 및 그 제조 방법
US7857947B2 (en) * 2005-07-27 2010-12-28 Applied Materials, Inc. Unique passivation technique for a CVD blocker plate to prevent particle formation
US7554052B2 (en) * 2005-07-29 2009-06-30 Applied Materials, Inc. Method and apparatus for the application of twin wire arc spray coatings
TWI298899B (en) * 2005-09-09 2008-07-11 Chipmos Technologies Inc Nozzle plate and manufacturing processe thereof
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US7743730B2 (en) * 2005-12-21 2010-06-29 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly
US20080226838A1 (en) * 2007-03-12 2008-09-18 Kochi Industrial Promotion Center Plasma CVD apparatus and film deposition method
US7578889B2 (en) * 2007-03-30 2009-08-25 Lam Research Corporation Methodology for cleaning of surface metal contamination from electrode assemblies
US7798388B2 (en) * 2007-05-31 2010-09-21 Applied Materials, Inc. Method of diffusion bonding a fluid flow apparatus
US20080296354A1 (en) * 2007-05-31 2008-12-04 Mark Crockett Stainless steel or stainless steel alloy for diffusion bonding
US8291581B2 (en) * 2007-06-01 2012-10-23 Mitsui Engineering & Shipbuilding Co., Ltd. Method for production of substrate electrode for plasma processing
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8313610B2 (en) * 2007-09-25 2012-11-20 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
CN101842877B (zh) * 2007-10-31 2012-09-26 朗姆研究公司 用于半导体处理室的温度控制模块及控制元件温度的方法
US20100288479A1 (en) * 2008-01-14 2010-11-18 Wen Jin Meng Metal-Based Microchannel Heat Exchangers Made by Molding Replication and Assembly
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
CN101932750B (zh) * 2008-06-27 2014-05-07 三菱重工业株式会社 真空处理装置及真空处理装置的运转方法
US20100099263A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US20100096569A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Ultraviolet-transmitting microwave reflector comprising a micromesh screen
US20100180426A1 (en) 2009-01-21 2010-07-22 Applied Materials, Inc. Particle reduction treatment for gas delivery system
KR101336363B1 (ko) * 2009-01-29 2013-12-04 도쿄엘렉트론가부시키가이샤 성막 장치 및 가스 토출 부재
KR101038088B1 (ko) * 2009-09-10 2011-06-01 주식회사 몰텍스 파이버를 이용한 패턴 형성용 다공성 전주 쉘의 제조방법 및 그 다공성 전주 쉘
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
WO2011096432A1 (ja) * 2010-02-04 2011-08-11 日本精機宝石工業株式会社 放熱性材料
JP5198611B2 (ja) * 2010-08-12 2013-05-15 株式会社東芝 ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
TWI534291B (zh) * 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US9630411B2 (en) * 2011-04-27 2017-04-25 Koninklijke Philips N.V. Method of improving the yield of a nozzle plate fabrication process
JP2013026413A (ja) 2011-07-20 2013-02-04 Hokuriku Seikei Kogyo Kk Al合金系シャワープレート及びその製造方法
WO2013051248A1 (ja) * 2011-10-07 2013-04-11 東京エレクトロン株式会社 プラズマ処理装置
US8813824B2 (en) * 2011-12-06 2014-08-26 Mikro Systems, Inc. Systems, devices, and/or methods for producing holes
CN103388132B (zh) 2012-05-11 2015-11-25 中微半导体设备(上海)有限公司 气体喷淋头、其制造方法及薄膜生长反应器
US9018022B2 (en) * 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US9314854B2 (en) * 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US20140235069A1 (en) 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9583369B2 (en) * 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
GB201314054D0 (en) * 2013-08-06 2013-09-18 Saudi Internat Petrochemical Company Method
US9663870B2 (en) * 2013-11-13 2017-05-30 Applied Materials, Inc. High purity metallic top coat for semiconductor manufacturing components
US9975320B2 (en) * 2014-01-13 2018-05-22 Applied Materials, Inc. Diffusion bonded plasma resisted chemical vapor deposition (CVD) chamber heater
US9484190B2 (en) 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
CN106663625B (zh) 2014-12-26 2019-10-25 A·Sat株式会社 测量方法、电极、再生方法、等离子体蚀刻装置和显示方法
JP6398827B2 (ja) * 2015-03-24 2018-10-03 三菱マテリアル株式会社 プラズマ処理装置用電極板の製造方法
TWI677929B (zh) * 2015-05-01 2019-11-21 美商應用材料股份有限公司 用於形成膜堆疊的雙通道噴頭
KR102050860B1 (ko) * 2015-08-05 2019-12-02 어플라이드 머티어리얼스, 인코포레이티드 유기 발광 다이오드 제조용 섀도 마스크
WO2017073679A1 (ja) * 2015-10-30 2017-05-04 京セラ株式会社 シャワープレート、半導体製造装置およびシャワープレートの製造方法
CN108699671A (zh) * 2016-02-03 2018-10-23 应用材料公司 具有通过使用正/负光刻胶的双电铸形成的锥形开口的阴影掩模
US10266947B2 (en) * 2016-08-23 2019-04-23 Lam Research Corporation Rotary friction welded blank for PECVD heated showerhead
US10366904B2 (en) * 2016-09-08 2019-07-30 Corning Incorporated Articles having holes with morphology attributes and methods for fabricating the same
US10591451B2 (en) * 2017-06-01 2020-03-17 Phoenix S&T, Inc. Devices and methods for liquid sample injection for mass spectrometry with improved utilities
US10297458B2 (en) * 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US20190323127A1 (en) * 2018-04-19 2019-10-24 Applied Materials, Inc. Texturing and plating nickel on aluminum process chamber components

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0744050U (ja) * 1989-12-18 1995-10-24 ウェスティングハウス、ブレイク、アンド、シグナル、ホールディングス、リミテッド 半導体装置用ハウジング
JPH10125651A (ja) * 1996-10-17 1998-05-15 Shin Etsu Chem Co Ltd 多孔電極板
JP2013503490A (ja) * 2009-08-27 2013-01-31 アプライド マテリアルズ インコーポレイテッド ガス分配シャワーヘッドおよび洗浄方法

Also Published As

Publication number Publication date
CN110800095A (zh) 2020-02-14
EP3635776A1 (en) 2020-04-15
US11380557B2 (en) 2022-07-05
JP7219233B2 (ja) 2023-02-07
KR20200005674A (ko) 2020-01-15
JP2020522895A (ja) 2020-07-30
TWI821188B (zh) 2023-11-11
WO2018226503A1 (en) 2018-12-13
KR102530955B1 (ko) 2023-05-09
TW201903200A (zh) 2019-01-16
EP3635776A4 (en) 2021-02-17
US20180350627A1 (en) 2018-12-06

Similar Documents

Publication Publication Date Title
JP7393501B2 (ja) 前駆体の流れを改善する半導体処理チャンバ
US11276559B2 (en) Semiconductor processing chamber for multiple precursor flow
CN100587904C (zh) 反应腔室内衬及包含该内衬的反应腔室
JP4707588B2 (ja) プラズマ処理装置及びそれに用いられる電極
US20150214066A1 (en) Method for material removal in dry etch reactor
TW201921580A (zh) 具有冷卻和傳導銷的基板支撐件
JP2003324072A (ja) 半導体製造装置
CN104278253A (zh) 具有导通控制的化学沉积设备
JP2008251854A (ja) プラズマ処理装置
JPH06318566A (ja) 高密度プラズマに使用可能な静電チャック
KR20190056552A (ko) 지지 유닛 및 이를 가지는 기판 처리 장치
CN111095498B (zh) 载置台、基板处理装置以及边缘环
KR102033192B1 (ko) 고속 가스 스위칭을 위해서 유용한 플라즈마 에칭 챔버용 챔버 필러 키트
JP2004513516A (ja) 拡張されたプロセスウィンドウを有する誘電体エッチングチャンバ
US20070256638A1 (en) Electrode plate for use in plasma processing and plasma processing system
US11814716B2 (en) Faceplate having blocked center hole
KR101947844B1 (ko) 다수의 디커플링된 플라즈마 소스들을 갖는 반도체 프로세싱 시스템
JP5232512B2 (ja) プラズマ処理装置及びプラズマ処理方法
CN110800095B (zh) 用于半导体处理腔室中的气体输送的设备和方法
JP2014222702A (ja) ガスを供給する方法、及びプラズマ処理装置
US20040085706A1 (en) Electrostatic chuck, supporting table and plasma processing system
JP2000091247A (ja) プラズマ処理装置
JP2020510307A (ja) 流動性cvdのためのディフューザー設計
KR101013511B1 (ko) 라이너 어셈블리 및 이를 구비하는 플라즈마 처리 장치
JP2004186404A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant