JP7219233B2 - 半導体処理チャンバ内でのガス供給のための装置および方法 - Google Patents

半導体処理チャンバ内でのガス供給のための装置および方法 Download PDF

Info

Publication number
JP7219233B2
JP7219233B2 JP2019567326A JP2019567326A JP7219233B2 JP 7219233 B2 JP7219233 B2 JP 7219233B2 JP 2019567326 A JP2019567326 A JP 2019567326A JP 2019567326 A JP2019567326 A JP 2019567326A JP 7219233 B2 JP7219233 B2 JP 7219233B2
Authority
JP
Japan
Prior art keywords
gas
gas distribution
distribution plate
mandrel
passages
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019567326A
Other languages
English (en)
Other versions
JP2020522895A (ja
JP2020522895A5 (ja
Inventor
ヴィンセント キルヒホフ
ファルク グンゴール
フェリックス ラビノヴィッチ
ゲイリー ケッパーズ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020522895A publication Critical patent/JP2020522895A/ja
Publication of JP2020522895A5 publication Critical patent/JP2020522895A5/ja
Application granted granted Critical
Publication of JP7219233B2 publication Critical patent/JP7219233B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4871Bases, plates or heatsinks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D1/00Electroforming
    • C25D1/08Perforated or foraminous objects, e.g. sieves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electrochemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • General Engineering & Computer Science (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Drying Of Semiconductors (AREA)

Description

本開示の実施形態は一般に、半導体製造システムで使用されている半導体処理チャンバ内でのガス供給に関する。
半導体処理チャンバ(例えば堆積チャンバ、エッチングチャンバなど)内で利用されている従来のシャワーヘッドは通常、半導体処理チャンバにガスを流入させるガス供給装置または「シャワーヘッド」を含む。このガスは、処理チャンバ内に置かれた基板上への材料の堆積など、さまざまな処理のために使用される。圧力、温度および速度などの供給されるガスのパラメータは、チャンバ内での基板の処理に影響を与える。シャワーヘッド内の流量および流体力学は、供給されるガスのパラメータに影響を与える。現行の製造技法は、シャワーヘッド内を流体が滑らかに流れる通路を形成する能力に限界がある。これは、通路のサイズが小さいことによる。
したがって、本発明の発明者は、半導体処理チャンバ内での強化されたガス供給のための改良された方法を提供した。
本明細書では、半導体処理システム内でのガス供給のための装置の実施形態が提供される。いくつかの実施形態において、半導体処理システム内でのガス供給のための装置(以後、ガス供給装置という)は、複数のガス通路を有するガス分配板(gas distribution plate)を備え、この複数のガス通路のうちの少なくとも1つのガス通路は、約10Ra以下の粗さを有する表面を有する。
いくつかの実施形態において、処理チャンバは、チャンバ本体であり、チャンバ本体の処理内容積内に配置された基板支持を有するチャンバ本体と、チャンバ本体の処理内容積内の基板支持体の反対側に配置されたシャワーヘッドとを備え、このシャワーヘッドは、複数のガス通路を有するガス分配板であり、この複数のガス通路のうちの少なくとも1つの通路が、約10Ra以下の粗さを有する表面を有する、ガス分配板と、処理チャンバの構成要素に取り付けるための支持体を提供するために、ガス分配板の外縁と係合したフランジとを備える。
いくつかの実施形態において、ガス供給装置を形成する方法は、導電性ベースを備えるマンドレル(mandrel)であり、ガス分配板をその上に形成するためのマンドレルを用意すること、約10Ra以下の平均表面粗さを有する少なくとも1つのピンをマンドレルと係合させること、マンドレル上にニッケル材料を電鋳して、ガス分配板を形成すること、マンドレルからガス分配板を取り出すこと、およびそのガス分配板をフランジに電鋳して、ガス供給装置を形成することを含む。
上にその概要を簡単に示し、以下でより詳細に論じる本開示の実施形態は、添付図面に示された本開示の例示的な実施形態を参照することによって理解することができる。しかしながら、添付図面は本開示の典型的な実施形態だけを示すものであり、したがって添付図面を本開示の範囲を限定するものと考えるべきではない。等しく有効な他の実施形態を本開示が許容する可能性があるためである。
本開示の原理のいくつかの実施形態に基づく処理チャンバの略断面図である。 本開示の原理のいくつかの実施形態に基づくガス供給装置の略断面図である。 非導電性で永久的な(permanent)ピンを備える、本開示の原理のいくつかの実施形態に基づくマンドレルの略断面図である。 非導電性で永久的なピンおよび管を備える、本開示の原理のいくつかの実施形態に基づくマンドレルの略断面図である。 ガス供給装置を形成した後の、非導電性で永久的なピンおよび管を備える、本開示の原理のいくつかの実施形態に基づくマンドレルの略断面図である。 非導電性で使い捨ての(disposable)ピンを備える、本開示の原理のいくつかの実施形態に基づくマンドレルの略断面図である。 導電性のピンを備える、本開示の原理のいくつかの実施形態に基づくマンドレルの略断面図である。 ガス供給装置を形成する、本開示の原理のいくつかの実施形態に基づく方法を示す流れ図である。
理解を容易にするため、可能な場合には、上記の図に共通する同一の要素を示すのに同一の参照符号を使用した。上記の図は一定の倍率では描かれておらず、分かりやすくするために単純化されていることがある。追加の言及がなくても、1つの実施形態の要素および特徴を他の実施形態に有益に組み込むことができる。
従来のガス供給装置のガス通路または「穴」の表面粗さが大きいことを本発明の発明者は認めた。この表面粗さに起因する通路内のガス乱流が、不均一な堆積および不均一なエッチングなどの望ましくない処理結果につながることがある。本開示の原理の実施形態は、ガス通路の表面粗さが低減され、その結果として処理中のガス供給の乱流の程度をより小さくするガス供給装置を有利に提供する。さらに、このガス供給装置は、処理中のガス供給装置の熱特性を有益に制御することもでき、より高品質の製品を提供することができる。いくつかの実施形態では、より滑らかでより均一なガス流量を提供する複数の通路を有し、いくつかの実施形態ではより均一な温度制御を有するシャワーヘッドの「面板(faceplate)」として使用されたガス分配板を、この装置が有利に提供し得る。いくつかの実施形態では、より滑らかでより均一なガス流量を提供する複数の通路を有し、いくつかの実施形態ではより均一な温度制御を有するシャワーヘッドの「遮蔽板(blocker plate)」として使用されたガス分配板を、この装置が有利に提供し得る。
図1は、ガス供給装置とともに使用するのに適した、本開示の原理のいくつかの実施形態に基づく処理チャンバ100を示す。他の適したチャンバは、基板製造プロセスを実行するために例えばシャワーヘッドなどのガス供給装置を含むチャンバを含む。
いくつかの実施形態では、処理チャンバ100が一般に、処理内容積104と排出容積106とを画定したチャンバ本体102を備える。処理内容積104は例えば、処理チャンバ100内に配置された基板支持体108であって、処理の間、基板110をその上に支持する基板支持体108と、所定の位置に提供されたシャワーヘッド114および/またはノズルなどの1つまたは複数のガス入口との間に画定されていてもよい。排出容積は例えば、基板支持体108と処理チャンバ100の底面との間に画定されていてもよい。
基板支持体108は一般に、その上に基板110を支持する基板支持面141を有する本体143を備える。いくつかの実施形態では、基板支持体108が、基板支持体108の基板支持面において基板110を保持または支持する、静電チャック、真空チャック、基板保持クランプなどの装置(図示せず)を含むことができる。
いくつかの実施形態では、基板支持体108が、無線周波(RF)バイアス電極168を含むことができる。RFバイアス電極168は、1つまたは複数のRFバイアス電源に、1つまたは複数の対応するそれぞれのマッチングネットワークを通して結合されていてもよい(図1には1つのRFバイアス電源148Aおよび1つのマッチングネットワーク146Aが示されている)。この1つまたは複数のバイアス電源は、約2MHzまたは約13.56MHzまたは約60MHzの周波数において最大12000Wを生み出す能力を有していてもよい。いくつかの実施形態では、約2MHzおよび約13.56MHzの周波数のRF電力を、対応するそれぞれのマッチングネットワークを通してRFバイアス電極に結合する2つのバイアス電源が提供され得る。いくつかの実施形態では、約2MHz、約13.56MHzおよび約60MHzの周波数のRF電力を、対応するそれぞれのマッチングネットワークを通してRFバイアス電極に結合する3つのバイアス電源が提供され得る。この少なくとも1つのバイアス電源は、連続電力またはパルス電力を供給することができる。いくつかの実施形態では、このバイアス電源がDC源またはパルスDC源である。
いくつかの実施形態では、基板支持体108が、基板支持面141の温度および基板支持面141上に配置された基板110の温度を制御する1つまたは複数の機構を含むことができる。例えば、シャワーヘッド114に関して後に説明する熱伝達媒体と同様の熱伝達媒体を流すための1つまたは複数の流路を基板支持面の下に画定するために、1つまたは複数のチャネル(図示せず)が提供されていてもよい。
1つまたは複数のガス入口(例えばシャワーヘッド114)は、処理チャンバ100の処理内容積104内に1種または数種の処理ガスを供給するガス供給源116に結合されていてもよい。シャワーヘッド114が示されているが、処理チャンバ100の天井もしくは側壁に配置されたノズルもしくは入口、または処理チャンバ100にガスを供給するのに適した他の位置、例えば処理チャンバのベース、基板支持体の周縁部などに配置されたノズルもしくは入口など、追加のガス入口が提供されていてもよい。
いくつかの実施形態では、処理のための電力を供給するために、1つまたは複数のRFプラズマ電源(1つのRFプラズマ電源148Bが示されている)が、1つまたは複数のマッチングネットワーク146Bを通して処理チャンバ100に結合され得る。いくつかの実施形態では、処理チャンバ100が、処理チャンバ100の上部の近くの上電極に供給された、容量結合されたRF電力を利用することができる。この上電極は、処理チャンバ100の上部の導体であってもよく、または、適当な導電性材料から製造された天井142、シャワーヘッド114などのうちの1つもしくは複数によって少なくとも部分的に形成されたものであってもよい。例えば、いくつかの実施形態では、この1つまたは複数のRFプラズマ電源148Bが、処理チャンバ100の天井142の導電性部分またはシャワーヘッド114の導電性部分に結合され得る。天井142は実質的に平らであってもよいが、ドーム形の天井など、他のタイプの天井が利用されていてもよい。この1つまたは複数のプラズマ源は、約2MHzおよび/もしくは約13.56MHzの周波数において、またはそれよりも高い周波数、例えば27MHzおよび/もしくは60MHzおよび/もしくは162MHzの周波数において最大5000Wを生み出す能力を有していてもよい。いくつかの実施形態では、約2MHzおよび約13.56MHzの周波数においてRF電力を供給するために、2つのRF電源が、対応するそれぞれのマッチングネットワークを通して上電極に結合され得る。あるいは、この1つまたは複数のRF電源が、誘導結合されたRF電力を用いてプラズマを形成するために、処理チャンバ100の天井の近くに配置された誘導コイル要素(図示せず)に結合されていてもよい。
いくつかの実施形態では、処理内容積104が、排出システム120に流体結合されている。排出システム120は、処理チャンバ100の処理内容積104からの排出ガスの均一な流れを容易にするものであってもよい。排出システム120は一般に、ポンピングプレナム124、およびポンピングプレナム124を処理チャンバ100の処理内容積104に結合する複数の導管(図示せず)を含む。導管は、処理内容積104(またはいくつかの実施形態では排出容積106)に結合された入口122、およびポンピングプレナム124に流体結合された出口(図示せず)を有する。例えば、導管は、処理チャンバ100の側壁の下部領域または処理チャンバ100の床に配置された入口122を有していてもよい。いくつかの実施形態では、この入口が、実質的に等間隔に配置されている。
処理チャンバ100から排出ガスをポンプ排出するため、ポンピングポート126を介してポンピングプレナム124に真空ポンプ128が結合されていてもよい。適切な排出物取扱い機器に排出物を送出するため、真空ポンプ128が排出出口132に流体結合されていてもよい。真空ポンプ128の動作と組み合わせて排出ガスの流量の制御を容易にするために、ポンピングプレナム124に(ゲート弁などの)弁130が配置されていてもよい。z運動ゲート弁が示されているが、排出物の流れを制御するための処理に適合した適当な弁が利用されていてもよい。
動作時、基板110は、チャンバ本体102の開口112を介して処理チャンバ100に入ることができる。スリット弁118を介して、または開口112を通したチャンバの内部へのアクセスを選択的に提供する他の装置を介して、開口112が選択的に密閉されてもよい。基板支持体108は、リフト装置134に結合されていてもよく、リフト装置134は、開口112を介して基板をチャンバ内およびチャンバ外へ移送するのに適した下位置(図示の位置)と処理に適した選択可能な上位置との間で基板支持体108の位置を制御するものであってもよい。この処理位置は、特定の処理ステップの処理均一性を最大にするように選択されていてもよい。高い処理位置にあるときには、対称な処理領域を提供するために、基板支持体108が、開口112よりも高い位置に配置されてもよい。処理チャンバ100内に基板110が配置された後、ポンプによってチャンバの圧力が、プラズマを形成するのに適した圧力まで下げられてもよく、シャワーヘッド114(および/または他のガス入口)を介してチャンバに1種または数種の処理ガスが導入されてもよい。基板を処理するために処理ガスからプラズマを点火し、維持するために、RF電力が供給されてもよい。
上記の例の処理などの処理の間、シャワーヘッド114の基板に面した面を横切ってより均一な温度プロファイルを提供するように、シャワーヘッド114の温度が制御されてもよい。シャワーヘッド114は、シャワーヘッド114の温度を制御する1つまたは複数の機構を含んでいてもよい。例えば、いくつかの実施形態では、シャワーヘッド114の面板160として使用された本開示の原理のガス分配板の温度の制御をさらに容易にするために、シャワーヘッド114の内部に1つまたは複数の流体通路が配置され得る。いくつかの実施形態では、シャワーヘッド114がさらに、任意選択の遮蔽板161として本開示の原理のガス分配板を含む。遮蔽板161はさらに、遮蔽板の温度の制御を容易にするために1つまたは複数の流体通路を含んでいてもよい。
さらに、シャワーヘッド114の面板160に、1つまたは複数のチャネル140の第1のセットが、この1つまたは複数のチャネル140に熱伝達媒体を流すための1つまたは複数の流路(後により完全に説明する)を画定するために提供されていてもよい。任意選択で、シャワーヘッド114の任意選択の遮蔽板161に、1つまたは複数のチャネル162の第2のセットが、任意選択の遮蔽板161に熱伝達媒体を流すための1つまたは複数の流路(後により完全に説明する)を画定するために提供されていてもよい。熱伝達媒体は、シャワーヘッド114の構成要素(例えば遮蔽板、面板など)への十分な熱伝達またはシャワーヘッド114の構成要素(例えば遮蔽板、面板など)からの十分な熱伝達を提供するのに適した流体を含むことができる。例えば、熱伝達媒体は、ヘリウム(He)、酸素(O2)などの気体、または水、不凍液もしくはアルコール、例えばグリセロール、エチレングリセロール、プロピレン、メタノールなどの液体、またはFREON(登録商標)(例えばクロロフルオロカーボンまたはヒドロクロロフルオロカーボン冷媒)、アンモニアなど冷媒流体とすることができる。任意選択の遮蔽板161と面板160は、異なる熱伝達媒体および/または例えば流量などの異なる熱伝達パラメータを有することができる。
この1つまたは複数のチャネル140、162に熱伝達媒体を供給するために、チャネル140、162に熱伝達媒体源136が結合されていてもよい。熱伝達媒体の温度を制御するため、熱伝達媒体源136は、温度制御装置、例えば冷却装置またはヒータを備えていてもよい。この1つまたは複数のチャネル140、162への熱伝達媒体の流量を独立して制御するため、熱伝達媒体源136とこの1つまたは複数のチャネル140、162との間に、1つまたは複数の弁139(または他の流量制御装置)が提供されていてもよい。この1つまたは複数の弁139の動作および/あるいは熱伝達媒体源136の動作を、コントローラ137が制御してもよい。
いくつかの実施形態では、シャワーヘッド114に、例えば面板160または任意選択の遮蔽板161に、1つまたは複数のヒートシンク(図示せず)が埋め込まれてもよい。このヒートシンクは、面板160または任意選択の遮蔽板161の温度を安定させるのに役立つ。このヒートシンクは、シャワーヘッド114(面板または遮蔽板を含む)を製造するために使用された材料とは異なる材料でできていてもよい。いくつかの実施形態では、ヒートシンクが、少なくとも部分的に、銅ベースの材料でできている。
以下の例は、ガス分配板が、半導体処理装置のシャワーヘッドの面板として使用された実施形態を示す。しかしながら、他の実施形態は、ガス分配板を、半導体処理装置のシャワーヘッドの内部の遮蔽板として利用する。ガス通路および熱伝達通路の形成は、両方のタイプの実施形態で似ており、そのため、簡潔にするために、ガス分配板が面板として利用された例を示す。しかしながら、遮蔽板の形成および他のタイプのガス分配板の形成においても本開示の原理の技法を使用することができる。
図2は、本開示の原理のいくつかの実施形態に基づくガス供給装置200の略断面図を示す。装置200(例えば「シャワーヘッド」)は、ガス分配板204と係合したフランジ202を有する。いくつかの実施形態では、フランジ202がほぼ均一な厚さを有する。装置200は、一時的手段(例えばねじ、クランプなど)または永久的手段(例えば冷間溶接など)で接合された2つの別個の部片からなることができる。装置200は、フランジ202とガス分配板204の両方を含む単一の部片からなることもできる。ガス分配板204は、内面を有する少なくとも1つのガス通路206または「穴」を含む。流体(例えば気体、液体など)は、流体がこの通路を通過するときに通路の影響を受ける。
この影響は、流体速度に影響を及ぼすこと(例えば流体速度を低下させること、増大させること)、流体密度に影響を及ぼすこと(例えば流体を膨張させること、圧縮すること)、および流体温度に影響を及ぼすこと(例えば流体温度を増大させること、低下させること)を含み得る。この通路はさらに、流体の層流に対する影響を有し得る。通路の内面が粗い場合、層流は乱され、このことが、処理チャンバ100への流体供給が乱流になる原因となる。この乱流は、不均一なガス供給の原因となり得る。不均一なガス供給は、処理チャンバ100内での基板処理に対して負の影響を有する。この乱流は、密度、速度および温度などの流体パラメータに影響を与え得る。いくつかの実施形態では、通路の表面粗さが低減されたガス供給装置が、流体密度、速度および温度などのパラメータの均一性を有利に提供し、このことが基板処理の質を高める。ガス分配板の通路の内面のマイクロインチでの平均表面粗さRaを約10Ra以下にすることができる。例えばガラスなどの材料を利用することによって、ガス分配板の通路の内面のマイクロインチでの平均表面粗さRaを約2Ra以下にすることができる。さらに、本発明の発明者は、本開示の原理のプロセスが、異なる内径を有する通路間のより滑らかな遷移を有利に提供することを発見した。
通路の表面粗さが改善された装置200は、いくつかの手法で形成することができる。簡潔にするため、以下の例示的な実施形態は、電鋳として知られているプロセスを利用する。電鋳は、電気化学およびめっき浴中の添加剤を使用して部品を製造する。電解液中で、金属イオンを、アノードから、金属イオンを原子として堆積させる表面まで電気化学的に移動させる。電鋳では、この表面が、金属イオンが付着しないように処理されている。この表面は「マンドレル」と呼ばれる。マンドレルは、めっき浴中でカソードの働きをする。マンドレルは、マンドレルが何度も再使用されるという点で永久的なマンドレルであることがあり、または電鋳された部品の形成後に部品を解放するためにマンドレルが破壊されるという点で使い捨てのマンドレルであることがある。いくつかの実施形態では、ガス分配板204が、例えばニッケルと銅など、少なくとも2種類の異なる材料から作られている。
図3は、非導電性で永久的なピン304を備える、本開示の原理のいくつかの実施形態に基づくマンドレル300の略断面図を示す。マンドレル300は、電鋳プロセス、例えば図2の装置200を形成する電鋳プロセスで使用することができる型枠またはジグの一例である。いくつかの実施形態では、マンドレル300が、ピン304を備えるベース302を有し、ピン304が、非導電性材料から作られており(したがって電鋳中に金属イオンを引きつけず)、再使用される(永久的である)。ピン304は、例えばガラス、(ナイロンおよび押出し成形されたナイロン(例えば「釣り糸(fishing line)」などを含むプラスチックなどの材料から形成することができる。ピン304は例えば、マンドレル300のベース302の穴に通され、電鋳プロセスの間、「ピン」の働きをするようにオーバヘッド織機を通してループ状にされまたはオーバヘッド織機に取り付けられた、異なる直径を有するナイロン釣り糸とすることができる。このピンまたは釣り糸は一般に、ベース302に対して直角に向けられているが、いくつかの実施形態では、他の角度を使用して、ガス供給装置に異なるガス供給角度を提供することができる。ガラスの表面粗さは小さいため、ピン304の材料としてガラス棒を使用することもできる。平均表面粗さまたはRaを2以下にすることができる。
電鋳プロセスは、ベース302上およびピン304の周囲において実質的に均一なガス分配板204を形成するために使用される。ピン304は非導電性であるため、電鋳プロセスで使用される材料はピン304に引きつけられない。ピンに引きつけられないことにより、材料は、ある程度均一な厚さでベース302上に蓄積して、ガス分配板204を形成することができる。さらに、ピン304はガス分配板から容易に分離することができる。ガス分配板204をマンドレル300から取り出すためにピン304を犠牲にする必要がなく、また、追加のガス分配板を製造するために再使用することができるという意味で、ピン304は「永久的」である。このガス分配板をマンドレル300から取り出し、使用すること、または、表面を機械加工する、および/もしくは均一な厚さを保証するなど、ガス分配板をさらに処理することができる。フランジ202と適正に係合するように、および/またはフランジ202に冷間溶接されるように、ガス分配板を機械加工することもできる。
図4aは、非導電性で永久的なピン304および管404a、404b、404cを備える、本開示の原理のいくつかの実施形態に基づくマンドレル400aの略断面図を示す。マンドレル400aは、図3のベース302およびピン304を含む。電鋳プロセスによって、マンドレル400a上に第1の材料層402が堆積している。ピン304は、第1の直径405を有する通路を第1の材料層402に形成する。電鋳プロセスを続行する前に、第1の材料層402を取り出しまたはそのままの位置に残し、続行する前に、機械加工または他の技法で第1の材料層402を処理することができる。この追加の処理は、限定はされないが、第1の材料層402の均一な厚さを達成するために第1の材料層402の頂面を機械加工することを含みうる。処理後、追加の電鋳のために第1の材料層402をマンドレル400aに戻すことができる。
管404aは、管404aの中心に、ピン304の第1の直径405よりもわずかに大きな円筒形の開口を有する。この開口は、ピン304を覆って管404aが滑り、管404aが第1の材料層402と係合することを可能にする。ピン304を覆って管404aが置かれた後、マンドレル400aを使用して、管404aの外径である第2の直径407に等しい直径を有するガス通路を形成することができる。任意選択の管404b、404cは、使用することができる他の形状の例であり、それらの形状は、形成されたガス分配板からの容易な取外しを可能にする(再使用可能または「永久的」)。任意選択の管404b、404cの形状は、ガス分配板の通路内の第1の直径405から第2の直径407へのより滑らかな遷移を可能にする。示された例の代わりに他の形状(例えば正方形、長円形、砂時計形など)を利用することもできる。
図4bは、ガス供給装置を形成した後の、非導電性で永久的なピン304および管404aを備える、本開示の原理のいくつかの実施形態に基づくマンドレル400bの略断面図を示す。マンドレル400bが管404aを使用しているのは単に例示のためである(任意選択の管404b、404cならびに/または図示された管の変形形態および図示されていない管の変形形態の組合せを使用することもできる)。電鋳プロセスによって、第1の材料層402上に第2の材料層406が堆積している。マンドレル400bを取り外すと、結合された第1の材料層402と第2の材料層406とがガス分配板を形成している。この例のガス分配板は、2つの異なる直径を有するガス通路を有する。この異なる直径を使用して、供給されるガスのガス圧、温度および速度を変化させることができる。このガス分配板をマンドレル400bから取り出し、使用すること、または、表面を機械加工する、および/もしくは均一な厚さを保証するなど、ガス分配板をさらに処理することができる。フランジ202と適正に係合するように、および/またはフランジ202に面板として冷間溶接されるように、ガス分配板を機械加工することもできる。このガス分配板を遮蔽板として組み込むこともできる。
図5は、非導電性で使い捨てのピン504を備える、本開示の原理のいくつかの実施形態に基づくマンドレル500の略断面図を示す。いくつかの実施形態では、マンドレル500が、ピン504を備えるベース502を有する。ピン504は非導電性であるため、電鋳プロセスは、ある程度均一な材料層506をマンドレル500上に分布させる。ピン504の形状のため、ピン504を材料層506から容易に取り外すことはできないため、ピン504は使い捨てにされる。電鋳プロセスの後、ピン504は犠牲にされる。ピン504の除去は、熱によって(例えばワックス(wax)ベースのピン)、(例えばピン504に使用されている材料だけをエッチングするエッチング剤を使用した)エッチングによって、および他の化学的または機械的手段によって実施することができる。
このガス分配板をマンドレル500から取り出し、使用すること、または、表面を機械加工する、および/もしくは均一な厚さを保証するなど、ガス分配板をさらに処理することができる。フランジ202と適正に係合するように、および/またはフランジ202に冷間溶接されるように、ガス分配板を機械加工することもできる。
図6は、導電性の材料でできたピン604を備える、本開示の原理のいくつかの実施形態に基づくマンドレル600の略断面図を示す。マンドレル600は、電鋳プロセスにおいて金属イオンを引きつけるピン604を備えるベース602を有する。この図ではピン604の直径が均一だが、この直径を不均一にすることもできる(湾曲した形状、曲げられた形状、砂時計形など)。いくつかの実施形態では、電鋳プロセスを使用して第1の材料層606を堆積させる。ピン604は金属イオンを引きつけるため、ピン604およびベース602はその金属の層で覆われる。このプロセスは、ピン604の周囲におおまかな中空円錐605を形成する。いくつかの電鋳プロセスでは、形成された部片から除去することができる例えばワックスなどの物質を使用して、おおまかな中空円錐605を取り囲む1つまたは複数の空洞610を埋める。空洞610を選択的に埋めることによって、ガス分配板の温度制御を可能にする流体通路のさまざまな構成を達成することができる。例えば、ガス分配板の外縁の近くに流体通路を形成することによって、ガス分配板の縁の温度を制御することができる。同様に、例えば、ガス分配板の中心の近くに流体通路を形成することによって、ガス分配板の中心の温度を制御することができる。
電鋳プロセスを続行する前に、第1の材料層606を取り出しまたはそのままの位置に残し、続行する前に、機械加工または他の技法で第1の材料層606を処理することができる。この追加の処理は、限定はされないが、第1の材料層606の均一な厚さを達成するために第1の材料層606の頂面を機械加工することを含み得る。処理後、追加の電鋳のために第1の材料層606をマンドレル600に戻すことができる。
次いで、この物質および第1の材料層606の上に第2の材料層608を電鋳する。実質的に均一な層を形成するため、空洞の中の物質上に金属コーティングを使用して金属イオンを引きつけることができる。この金属コーティングは例えば、電鋳の前に物質に吹き付けることができる。いくつかの実施形態では、第2の材料層608の形成中にピン604に金属イオンが引きつけられることによって生じ得る厚さの不均一性を除くため、第2の材料層608を機械加工することができる。いくつかの実施形態では、第1の材料層606の頂面よりも上のピン604の部分607を非導電性材料でできたものとして、第2の層を均一にするのを助けることができ、場合によってはさらなる機械加工の必要性をなくすことができる。
このガス分配板をマンドレル600から取り出し、使用すること、または、表面を機械加工する、および/もしくは均一な厚さを保証するなど、ガス分配板をさらに処理することができる。フランジ202と適正に係合するように、および/またはフランジ202に面板として冷間溶接されるように、ガス分配板を機械加工することもできる。このガス分配板を遮蔽板として利用することもできる。
いくつかの実施形態では、上述のプロセスと同様のプロセスを使用して、ガス供給装置の周縁部に沿って空洞612を構築することができる。均一な第1の材料層を形成するために内側ピンを非導電性とすることができ、一方で、外側導電性構成要素を使用して、周縁部に沿って流体チャネルを形成することができる。導電性構成要素および非導電性構成要素の戦略的使用を使用して、ガス分配板に選択的に空洞を形成することができる。いくつかの実施形態では、電鋳プロセス中に通路の周囲に形成された空洞にヒートシンク材料を充填することができる。このプロセスは、ガス分配板の均一な温度制御の達成を助けるために、ガス分配板の全体にわたって(例えば1つまたは複数の通路を囲むように)または選択位置にヒートシンクを埋め込むことを可能にする。ヒートシンク材料を露出したままにする(例えば第2の材料層608を形成しない)こと、または部分的に埋め込むこと(例えば第2の材料層608を形成する)ことができる。いくつかの実施形態では、ガス分配板の温度を制御するために、ガス分配板内にヒートシンクと流体通路の組合せを形成することができる。
図7は、ガス供給装置を形成する、本開示の原理の実施形態に基づく方法700を示す流れ図である。この方法は、導電性ベースを備える、ガス分配板を形成するためのマンドレルを用意すること702から始まる。導電性ベースは一般に、ガス分配板の1つの面およびガス分配板の全体形状を形成するのを助ける。マンドレルはさらに、ガス分配板に通路を形成するために使用されるピンを固定する固定手段を含むことができる。この固定手段は例えば、糸(例えば通路を形成するためのピンとして使用される釣り糸またはナイロン糸)を通すための穴、ピンのための凹部(例えばガラス棒、プラスチックピンなどの摩擦保持)、および/あるいはねじまたは他の永久的もしくは半永久的固定手段を含み得る。次いで、ガス分配板の通路形状を決定する704。この形状は、限定はされないが、円筒形、砂時計形(中央が絞られている)、直径が段階的に変化する円筒形、直径が徐々に小さくなる円筒形、および/または直径が急変する円筒形を含み得る。この形状は円筒形だけに限定されない。本開示の原理の実施形態では、正方形、三角形、長円形および/または他の形状の通路を達成することもできる。次いで、その通路形状に基づいてピンをマンドレルと係合させる706。いくつかの実施形態では、ピンが、多数の部品(例えばピンおよび管など)を有し、導電性もしくは非導電性であり、または導電性部分と非導電性部分の組合せであり、ガス分配板の通路を形成するために永久的または使い捨てであってよい。
次いで、マンドレル上で電鋳プロセスを実行する708。この電鋳プロセスは、同種の材料もしくは異なる材料の多数の層を形成するため、またはガス分配板に空洞を形成するため、またはガス分配板にヒートシンクを埋め込むための多数の電鋳プロセスを含むことができる。これらの多数の層のうちの1つまたは複数の層の間で追加の機械加工または他の処理を実行することができる。次いで、マンドレルからガス分配板を解放する710。解放後、さらなる機械加工を実行すること、または機械加工なしでガス分配板を使用することができる。ピンまたは管の使い捨て部分をエッチングまたは他の手法で除去することができる。内部および/または外部空洞などから、プロセス中に使用されたワックスまたは他の除去可能な物質を除去することもできる。
方法700の全てのブロックを実行する必要はなく、一部のブロックは別の順序で実行することができ、一部のブロックは繰り返すこともできる。
以上の説明は本開示の実施形態を対象としているが、本開示の基本的な範囲を逸脱することなく本開示の他の追加の実施形態を考案することができる。

Claims (13)

  1. 半導体処理システム内でのガス供給のための装置であって、
    複数のガス通路を有するガス分配板を備え、前記複数のガス通路のうちの少なくとも1つのガス通路が、マイクロインチで約10Ra以下の粗さを有する表面を有
    前記ガス分配板が、前記複数のガス通路のうちの少なくとも1つのガス通路を取り囲む少なくとも1つの内部空洞を有し、前記内部空洞が、前記ガス分配板の温度制御を提供するために流体源に流体結合される能力を備える、装置。
  2. 前記ガス分配板が、前記半導体処理システムのシャワーヘッドの遮蔽板である、請求項1に記載の装置。
  3. 前記ガス分配板が、前記ガス分配板の周縁部の周囲に内部流体通路を有し、前記内部流体通路が、前記ガス分配板の温度制御を提供するために流体源に流体結合される能力を備える、請求項1に記載の装置。
  4. 前記ガス分配板が、少なくとも1つのヒートシンクを有し、前記少なくとも1つのヒートシンクが、前記ガス分配板に少なくとも部分的に埋め込まれており、前記複数のガス通路のうちの少なくとも1つのガス通路を取り囲んでいる、請求項1に記載の装置。
  5. 前記複数のガス通路のうちの少なくとも1つのガス通路が、マイクロインチで約2Ra以下の粗さを有する表面を有する、請求項1に記載の装置。
  6. 前記半導体処理システム内に取り付けるための支持体を提供するために、前記ガス分配板の周縁部と係合したフランジ
    をさらに備える、請求項1に記載の装置。
  7. 前記ガス分配板と前記フランジとが冷間溶接によって係合された、請求項に記載の装置。
  8. チャンバ本体であり、前記チャンバ本体の処理内容積内に配置された基板支持体を有するチャンバ本体と、
    前記チャンバ本体の前記処理内容積内の前記基板支持体の反対側に配置されたシャワーヘッドと
    を備える処理チャンバであって、前記シャワーヘッドが、
    複数のガス通路を有する少なくとも1つのガス分配板であり、前記複数のガス通路のうちの少なくとも1つのガス通路が、マイクロインチで約10Ra以下の粗さを有する表面を有する、少なくとも1つのガス分配板と、
    前記処理チャンバの構成要素に取り付けるための支持体を提供するために、前記少なくとも1つのガス分配板のうちの少なくとも1つのガス分配板の周縁部と係合したフランジと
    を備え
    前記少なくとも1つのガス分配板のうちの少なくとも1つのガス分配板が少なくとも1つのヒートシンクを有し、前記少なくとも1つのヒートシンクが、前記少なくとも1つのガス分配板のうちの前記少なくとも1つのガス分配板に少なくとも部分的に埋め込まれており、前記複数のガス通路のうちの少なくとも1つのガス通路を取り囲んでいる、処理チャンバ。
  9. 前記少なくとも1つのガス分配板のうちの少なくとも1つのガス分配板と前記フランジが単一の部片である、請求項に記載の処理チャンバ。
  10. 前記少なくとも1つのガス分配板のうちの少なくとも1つのガス分配板が、前記少なくとも1つのガス分配板のうちの前記少なくとも1つのガス分配板の周縁部の周囲に内部流体通路を有し、前記内部流体通路が、前記少なくとも1つのガス分配板のうちの前記少なくとも1つのガス分配板の温度制御を提供するために流体源に流体結合される能力を備える、請求項に記載の処理チャンバ。
  11. 前記少なくとも1つのガス分配板のうちの少なくとも1つのガス分配板が、前記複数のガス通路のうちの少なくとも1つのガス通路を取り囲む少なくとも1つの内部空洞を有し、前記内部空洞が、前記少なくとも1つのガス分配板のうちの前記少なくとも1つのガス分配板の温度制御を提供するために流体源に流体結合される能力を備える、請求項に記載の処理チャンバ。
  12. 前記複数のガス通路のうちの少なくとも1つのガス通路が、マイクロインチで約2Ra以下の粗さを有する表面を有する、請求項に記載の処理チャンバ。
  13. ガス供給装置を形成する方法であって、
    導電性ベースを備えるマンドレルであり、ガス分配板をその上に形成するためのマンドレルを用意すること、
    マイクロインチで約10Ra以下の平均表面粗さを有する少なくとも1つのピンを前記マンドレルと係合させること、
    前記マンドレル上にニッケル材料を電鋳して、前記ガス分配板を形成すること、および
    前記マンドレルから前記ガス分配板を取り出すこと
    を含む方法。
JP2019567326A 2017-06-05 2018-05-31 半導体処理チャンバ内でのガス供給のための装置および方法 Active JP7219233B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/613,855 2017-06-05
US15/613,855 US11380557B2 (en) 2017-06-05 2017-06-05 Apparatus and method for gas delivery in semiconductor process chambers
PCT/US2018/035355 WO2018226503A1 (en) 2017-06-05 2018-05-31 Apparatus and method for gas delivery in semiconductor process chambers

Publications (3)

Publication Number Publication Date
JP2020522895A JP2020522895A (ja) 2020-07-30
JP2020522895A5 JP2020522895A5 (ja) 2021-07-26
JP7219233B2 true JP7219233B2 (ja) 2023-02-07

Family

ID=64458906

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019567326A Active JP7219233B2 (ja) 2017-06-05 2018-05-31 半導体処理チャンバ内でのガス供給のための装置および方法

Country Status (7)

Country Link
US (1) US11380557B2 (ja)
EP (1) EP3635776A4 (ja)
JP (1) JP7219233B2 (ja)
KR (1) KR102530955B1 (ja)
CN (1) CN110800095B (ja)
TW (1) TWI821188B (ja)
WO (1) WO2018226503A1 (ja)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013503490A (ja) 2009-08-27 2013-01-31 アプライド マテリアルズ インコーポレイテッド ガス分配シャワーヘッドおよび洗浄方法
JP2016181385A (ja) 2015-03-24 2016-10-13 三菱マテリアル株式会社 プラズマ処理装置用電極板の製造方法

Family Cites Families (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3022232A (en) * 1958-05-26 1962-02-20 Caterpillar Tractor Co Method and apparatus for simultaneously plating and lapping
US2959355A (en) * 1958-07-25 1960-11-08 Sandberg Serrell Corp Nozzle
US3024519A (en) * 1960-07-19 1962-03-13 Bendix Corp Cold weld semiconductor housing
US3461045A (en) * 1965-10-21 1969-08-12 Teletype Corp Method of plating through holes
US4745670A (en) * 1980-10-28 1988-05-24 Rockwell International Corporation Method for making chemical laser nozzle arrays
US4530739A (en) 1984-03-09 1985-07-23 Energy Conversion Devices, Inc. Method of fabricating an electroplated substrate
CA1272661A (en) * 1985-05-11 1990-08-14 Yuji Chiba Reaction apparatus
US4864329A (en) * 1988-09-22 1989-09-05 Xerox Corporation Fluid handling device with filter and fabrication process therefor
GB8928492D0 (en) * 1989-12-18 1990-02-21 Westinghouse Brake & Signal Housings for semiconductor devices
JPH0422601A (ja) * 1990-05-18 1992-01-27 Kobe Steel Ltd 貫通孔を有する成形体並びにその製造方法及び装置
US5255017A (en) * 1990-12-03 1993-10-19 Hewlett-Packard Company Three dimensional nozzle orifice plates
US5277783A (en) * 1991-05-15 1994-01-11 Brother Kogyo Kabushiki Kaisha Manufacturing method for orifice plate
US6514376B1 (en) * 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5352108A (en) * 1991-10-18 1994-10-04 Norito Sudo Porous film and porous film manufacturing apparatus
US5249358A (en) * 1992-04-28 1993-10-05 Minnesota Mining And Manufacturing Company Jet impingment plate and method of making
US5317805A (en) * 1992-04-28 1994-06-07 Minnesota Mining And Manufacturing Company Method of making microchanneled heat exchangers utilizing sacrificial cores
US5309322A (en) * 1992-10-13 1994-05-03 Motorola, Inc. Leadframe strip for semiconductor packages and method
EP0620702B1 (de) * 1993-04-16 1999-01-20 Dyconex Patente Ag Kern für elektrische Verbindungssubstrate und elektrische Verbindungssubstrate mit Kern, sowie Verfahren zu deren Herstellung
US5487822A (en) * 1993-11-24 1996-01-30 Applied Materials, Inc. Integrated sputtering target assembly
US5433835B1 (en) * 1993-11-24 1997-05-20 Applied Materials Inc Sputtering device and target with cover to hold cooling fluid
US6074543A (en) * 1995-04-14 2000-06-13 Canon Kabushiki Kaisha Method for producing liquid ejecting head
US5968379A (en) * 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
JPH09289195A (ja) 1996-04-22 1997-11-04 Nisshinbo Ind Inc プラズマエッチング電極
JP3372765B2 (ja) * 1996-07-12 2003-02-04 キヤノン株式会社 液体吐出ヘッド、ヘッドカートリッジ、液体吐出装置、記録システム、ヘッドキット、および液体吐出ヘッドの製造方法
JPH10125651A (ja) * 1996-10-17 1998-05-15 Shin Etsu Chem Co Ltd 多孔電極板
US5983906A (en) * 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US6189482B1 (en) * 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
US6051286A (en) * 1997-02-12 2000-04-18 Applied Materials, Inc. High temperature, high deposition rate process and apparatus for depositing titanium layers
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
AUPO794797A0 (en) * 1997-07-15 1997-08-07 Silverbrook Research Pty Ltd A device (MEMS07)
JPH11104950A (ja) 1997-10-03 1999-04-20 Shin Etsu Chem Co Ltd 電極板及びその製造方法
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR100378409B1 (ko) * 1998-09-03 2003-03-29 닛폰산소 가부시키가이샤 반도체 프로세스가스의 대량 공급장치
RU2151066C1 (ru) * 1998-11-03 2000-06-20 Самсунг Электроникс Ко., Лтд. Узел пластины сопла микроинжектора и способ его изготовления
US6214192B1 (en) * 1998-12-10 2001-04-10 Eastman Kodak Company Fabricating ink jet nozzle plate
US6399499B1 (en) * 1999-09-14 2002-06-04 Jeong Gey Lee Method for fabricating an electrode of a plasma chamber
US6585759B1 (en) * 1999-12-16 2003-07-01 Israel Aircraft Industries Ltd. Method and apparatus for manufacturing medical support devices
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6415860B1 (en) * 2000-02-09 2002-07-09 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Crossflow micro heat exchanger
US6892802B2 (en) * 2000-02-09 2005-05-17 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Crossflow micro heat exchanger
JP4497779B2 (ja) 2000-03-22 2010-07-07 シチズンホールディングス株式会社 孔構造体及び孔構造体製造方法
AU2001247685A1 (en) * 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
US20020086529A1 (en) * 2001-01-03 2002-07-04 Mcfeely Fenton Read Actively cooled dispenser system for improved resistivity and phase control in metal CVD from organometallic precursors
US20020112954A1 (en) * 2001-02-16 2002-08-22 Associated Plating Co. Plating rack for plating procedures and processes for making and using same
US20020162741A1 (en) * 2001-05-01 2002-11-07 Applied Materials, Inc. Multi-material target backing plate
CA2702143C (en) * 2001-06-05 2014-02-18 Mikro Systems, Inc. Methods for manufacturing three-dimensional devices and devices created thereby
EP1295647A1 (en) * 2001-09-24 2003-03-26 The Technology Partnership Public Limited Company Nozzles in perforate membranes and their manufacture
US7780789B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US20030124842A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6659331B2 (en) * 2002-02-26 2003-12-09 Applied Materials, Inc Plasma-resistant, welded aluminum structures for use in semiconductor apparatus
DE10317872A1 (de) * 2002-04-18 2004-01-08 Hitachi Printing Solutions, Ltd., Ebina Tintenstrahlkopf und Verfahren zu seiner Herstellung
US6988534B2 (en) * 2002-11-01 2006-01-24 Cooligy, Inc. Method and apparatus for flexible fluid delivery for cooling desired hot spots in a heat producing device
US20040051211A1 (en) * 2002-09-12 2004-03-18 Xerox Corporation Production of seamless belts and seamless belt products
JP4260450B2 (ja) * 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
US7000684B2 (en) * 2002-11-01 2006-02-21 Cooligy, Inc. Method and apparatus for efficient vertical fluid delivery for cooling a heat producing device
US6986382B2 (en) * 2002-11-01 2006-01-17 Cooligy Inc. Interwoven manifolds for pressure drop reduction in microchannel heat exchangers
NL1023005C2 (nl) * 2002-11-12 2004-05-13 Stork Prints Bv Zeefmateriaal, werkwijze voor de vervaardiging en toepassingen daarvan.
US20040125563A1 (en) * 2002-12-31 2004-07-01 Vrtis Joan K. Coating for a heat dissipation device and a method of fabrication
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JP2007525822A (ja) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド ガス分配システム
US7462208B2 (en) * 2003-06-27 2008-12-09 Ultracell Corporation Planar micro fuel processor
WO2005004258A2 (en) * 2003-06-27 2005-01-13 Ultracell Corporation Portable fuel cartridge for fuel cells
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
EP1775128B1 (en) * 2004-07-15 2011-09-07 Ricoh Company, Ltd. Liquid jet head, method of manufacturing the liquid jet head and image forming device
NL1026752C2 (nl) * 2004-07-30 2006-02-02 Stork Veco Bv Vernevelplaat voor het vernevelen van een fluïdum, werkwijze voor het vervaardigen van een vernevelplaat en toepassing van een vernevelplaat.
KR101309334B1 (ko) * 2004-08-02 2013-09-16 비코 인스트루먼츠 인코포레이티드 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터
US7507670B2 (en) * 2004-12-23 2009-03-24 Lam Research Corporation Silicon electrode assembly surface decontamination by acidic solution
JPWO2006112392A1 (ja) * 2005-04-18 2008-12-11 北陸成型工業株式会社 シャワープレート及びその製造方法
US7857947B2 (en) * 2005-07-27 2010-12-28 Applied Materials, Inc. Unique passivation technique for a CVD blocker plate to prevent particle formation
US7554052B2 (en) * 2005-07-29 2009-06-30 Applied Materials, Inc. Method and apparatus for the application of twin wire arc spray coatings
TWI298899B (en) * 2005-09-09 2008-07-11 Chipmos Technologies Inc Nozzle plate and manufacturing processe thereof
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US7743730B2 (en) * 2005-12-21 2010-06-29 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly
US20080226838A1 (en) * 2007-03-12 2008-09-18 Kochi Industrial Promotion Center Plasma CVD apparatus and film deposition method
US7578889B2 (en) * 2007-03-30 2009-08-25 Lam Research Corporation Methodology for cleaning of surface metal contamination from electrode assemblies
US20080296354A1 (en) * 2007-05-31 2008-12-04 Mark Crockett Stainless steel or stainless steel alloy for diffusion bonding
US7798388B2 (en) * 2007-05-31 2010-09-21 Applied Materials, Inc. Method of diffusion bonding a fluid flow apparatus
US8291581B2 (en) * 2007-06-01 2012-10-23 Mitsui Engineering & Shipbuilding Co., Ltd. Method for production of substrate electrode for plasma processing
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
JP5194125B2 (ja) * 2007-09-25 2013-05-08 ラム リサーチ コーポレーション シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
TWI508129B (zh) * 2007-10-31 2015-11-11 Lam Res Corp 利用氣體壓力來控制液體冷卻劑與構件體間之熱傳導的溫度控制模組
US20100288479A1 (en) * 2008-01-14 2010-11-18 Wen Jin Meng Metal-Based Microchannel Heat Exchangers Made by Molding Replication and Assembly
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
CN101932750B (zh) * 2008-06-27 2014-05-07 三菱重工业株式会社 真空处理装置及真空处理装置的运转方法
US20100099263A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US20100096569A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Ultraviolet-transmitting microwave reflector comprising a micromesh screen
US20100180426A1 (en) 2009-01-21 2010-07-22 Applied Materials, Inc. Particle reduction treatment for gas delivery system
CN102301460A (zh) * 2009-01-29 2011-12-28 东京毅力科创株式会社 成膜装置和气体排出部件
KR101038088B1 (ko) * 2009-09-10 2011-06-01 주식회사 몰텍스 파이버를 이용한 패턴 형성용 다공성 전주 쉘의 제조방법 및 그 다공성 전주 쉘
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
EP2543750B1 (en) * 2010-02-04 2019-06-05 Nippon Precision Jewel Industry Co., Ltd Heat sink material
JP5198611B2 (ja) * 2010-08-12 2013-05-15 株式会社東芝 ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
TWI534291B (zh) * 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US9630411B2 (en) * 2011-04-27 2017-04-25 Koninklijke Philips N.V. Method of improving the yield of a nozzle plate fabrication process
JP2013026413A (ja) 2011-07-20 2013-02-04 Hokuriku Seikei Kogyo Kk Al合金系シャワープレート及びその製造方法
TW201331408A (zh) * 2011-10-07 2013-08-01 Tokyo Electron Ltd 電漿處理裝置
US8813824B2 (en) * 2011-12-06 2014-08-26 Mikro Systems, Inc. Systems, devices, and/or methods for producing holes
CN105274498B (zh) 2012-05-11 2017-10-27 中微半导体设备(上海)有限公司 气体喷淋头、其制造方法及薄膜生长反应器
US9018022B2 (en) * 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US9314854B2 (en) * 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US20140235069A1 (en) 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9583369B2 (en) * 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
GB201314054D0 (en) * 2013-08-06 2013-09-18 Saudi Internat Petrochemical Company Method
US9663870B2 (en) * 2013-11-13 2017-05-30 Applied Materials, Inc. High purity metallic top coat for semiconductor manufacturing components
US9975320B2 (en) * 2014-01-13 2018-05-22 Applied Materials, Inc. Diffusion bonded plasma resisted chemical vapor deposition (CVD) chamber heater
US9484190B2 (en) 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
CN110491763B (zh) 2014-12-26 2021-11-23 A·Sat株式会社 再生电极
TWI677929B (zh) * 2015-05-01 2019-11-21 美商應用材料股份有限公司 用於形成膜堆疊的雙通道噴頭
US20180138408A1 (en) * 2015-08-05 2018-05-17 Applied Materials, Inc. A shadow mask for organic light emitting diode manufacture
US10920318B2 (en) * 2015-10-30 2021-02-16 Kyocera Corporation Shower plate, semiconductor manufacturing apparatus, and method for manufacturing shower plate
US20190036026A1 (en) * 2016-02-03 2019-01-31 Applied Materials, Inc. A shadow mask with tapered openings formed by double electroforming using positive/negative photoresists
US10266947B2 (en) * 2016-08-23 2019-04-23 Lam Research Corporation Rotary friction welded blank for PECVD heated showerhead
US10366904B2 (en) * 2016-09-08 2019-07-30 Corning Incorporated Articles having holes with morphology attributes and methods for fabricating the same
US10591451B2 (en) * 2017-06-01 2020-03-17 Phoenix S&T, Inc. Devices and methods for liquid sample injection for mass spectrometry with improved utilities
US10297458B2 (en) * 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US20190323127A1 (en) * 2018-04-19 2019-10-24 Applied Materials, Inc. Texturing and plating nickel on aluminum process chamber components

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013503490A (ja) 2009-08-27 2013-01-31 アプライド マテリアルズ インコーポレイテッド ガス分配シャワーヘッドおよび洗浄方法
JP2016181385A (ja) 2015-03-24 2016-10-13 三菱マテリアル株式会社 プラズマ処理装置用電極板の製造方法

Also Published As

Publication number Publication date
US11380557B2 (en) 2022-07-05
US20180350627A1 (en) 2018-12-06
CN110800095A (zh) 2020-02-14
TW201903200A (zh) 2019-01-16
JP2020522895A (ja) 2020-07-30
EP3635776A1 (en) 2020-04-15
WO2018226503A1 (en) 2018-12-13
EP3635776A4 (en) 2021-02-17
KR20200005674A (ko) 2020-01-15
TWI821188B (zh) 2023-11-11
CN110800095B (zh) 2023-10-13
KR102530955B1 (ko) 2023-05-09

Similar Documents

Publication Publication Date Title
US11101136B2 (en) Process window widening using coated parts in plasma etch processes
US20200318852A1 (en) Air Cooled Faraday Shield and Methods for Using the Same
US9623503B2 (en) Support unit and substrate treating device including the same
US20090211707A1 (en) Apparatus for gas distribution and its applications
TW201529879A (zh) 用於改善之氟利用及整合對稱前級管線之托架底部清洗
JPH06318566A (ja) 高密度プラズマに使用可能な静電チャック
TW200828434A (en) Shower plate and its fabrication process, plasma processing equipment employing it, plasma processing method and process for fabricating electronic device
JP2012038682A (ja) プラズマ処理装置及びプラズマ制御方法
JP2005268654A (ja) 静電チャック
CN111095498B (zh) 载置台、基板处理装置以及边缘环
US20070256638A1 (en) Electrode plate for use in plasma processing and plasma processing system
JP6027490B2 (ja) ガスを供給する方法、及びプラズマ処理装置
JP7219233B2 (ja) 半導体処理チャンバ内でのガス供給のための装置および方法
US20210391151A1 (en) Edge ring and plasma processing apparatus
TWI576462B (zh) A reaction gas delivery device and a chemical vapor deposition or an epitaxial layer growth reactor
US20040085706A1 (en) Electrostatic chuck, supporting table and plasma processing system
JP2020510307A (ja) 流動性cvdのためのディフューザー設計
JP7278172B2 (ja) 基板処理装置
JP2004186404A (ja) プラズマ処理装置
CN110846636A (zh) 用于处理腔室的涂覆材料
KR102187532B1 (ko) 기판처리장치의 진공 처킹 서셉터
KR20100020126A (ko) 라이너 어셈블리 및 이를 구비하는 플라즈마 처리 장치
US9714468B2 (en) Film-forming method of an osmium film
JP2008060236A (ja) プラズマ処理装置及びプラズマ処理方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210531

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210531

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220615

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220616

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220915

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221227

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230126

R150 Certificate of patent or registration of utility model

Ref document number: 7219233

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150