CN110800082A - 氟化氧化钇涂覆膜的形成方法及基于其的氟化氧化钇涂覆膜 - Google Patents

氟化氧化钇涂覆膜的形成方法及基于其的氟化氧化钇涂覆膜 Download PDF

Info

Publication number
CN110800082A
CN110800082A CN201880042939.0A CN201880042939A CN110800082A CN 110800082 A CN110800082 A CN 110800082A CN 201880042939 A CN201880042939 A CN 201880042939A CN 110800082 A CN110800082 A CN 110800082A
Authority
CN
China
Prior art keywords
coating film
yof
powder
forming
fluorinated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201880042939.0A
Other languages
English (en)
Inventor
朴載赫
金大根
石惠媛
金秉基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Iones Co ltd
Original Assignee
Iones Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020170065283A external-priority patent/KR102062397B1/ko
Priority claimed from KR1020170065284A external-priority patent/KR102106533B1/ko
Application filed by Iones Co ltd filed Critical Iones Co ltd
Publication of CN110800082A publication Critical patent/CN110800082A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C24/00Coating starting from inorganic powder
    • C23C24/02Coating starting from inorganic powder by application of pressure only
    • C23C24/04Impact or kinetic deposition of particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C24/00Coating starting from inorganic powder
    • C23C24/08Coating starting from inorganic powder by application of heat or pressure and heat
    • C23C24/082Coating starting from inorganic powder by application of heat or pressure and heat without intermediate formation of a liquid in the layer
    • C23C24/085Coating with metallic material, i.e. metals or metal alloys, optionally comprising hard particles, e.g. oxides, carbides or nitrides
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B15/00Layered products comprising a layer of metal
    • B32B15/04Layered products comprising a layer of metal comprising metal as the main or only constituent of a layer, which is next to another layer of the same or of a different material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B18/00Layered products essentially comprising ceramics, e.g. refractory products
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01FCOMPOUNDS OF THE METALS BERYLLIUM, MAGNESIUM, ALUMINIUM, CALCIUM, STRONTIUM, BARIUM, RADIUM, THORIUM, OR OF THE RARE-EARTH METALS
    • C01F17/00Compounds of rare earth metals
    • C01F17/20Compounds containing only rare earth metals as the metal element
    • C01F17/253Halides
    • C01F17/259Oxyhalides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C24/00Coating starting from inorganic powder
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C24/00Coating starting from inorganic powder
    • C23C24/02Coating starting from inorganic powder by application of pressure only
    • C23C24/06Compressing powdered coating material, e.g. by milling
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C24/00Coating starting from inorganic powder
    • C23C24/08Coating starting from inorganic powder by application of heat or pressure and heat
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C30/00Coating with metallic material characterised only by the composition of the metallic material, i.e. not characterised by the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C30/00Coating with metallic material characterised only by the composition of the metallic material, i.e. not characterised by the coating process
    • C23C30/005Coating with metallic material characterised only by the composition of the metallic material, i.e. not characterised by the coating process on hard metal substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/123Spraying molten metal
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/137Spraying in vacuum or in an inert atmosphere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12535Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.] with additional, spatially distinct nonmetal component
    • Y10T428/12611Oxide-containing component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12535Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.] with additional, spatially distinct nonmetal component
    • Y10T428/12611Oxide-containing component
    • Y10T428/12618Plural oxides
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12993Surface feature [e.g., rough, mirror]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]
    • Y10T428/24967Absolute thicknesses specified
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/24983Hardness
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less

Abstract

本发明的各种实施例涉及一种氟化氧化钇涂覆膜的形成方法及基于其的氟化氧化钇涂覆膜,要解决的技术问题在于提供一种氟化氧化钇涂覆膜的形成方法及基于其的氟化氧化钇涂覆膜,不仅内部无气孔或气孔极小且具有纳米结构,光透过率高,而且硬度及接合强度高,可以保护显示装置的透明窗口。为此,本发明各种实施例的氟化氧化钇涂覆膜的形成方法包括以下步骤:提供具有0.1μm至12μm粒径范围的预处理后YOF粉末的;接收从移送气体供给部供给移送气体,接收从粉末供给部供给的所述预处理后YOF粉末,以气溶胶状态移送所述预处理后YOF粉末;以及使以所述气溶胶状态移送的所述预处理后YOF粉末碰撞到工序腔室内的基材并被破碎(喷射),在所述基材形成氟化氧化钇涂覆膜。

Description

氟化氧化钇涂覆膜的形成方法及基于其的氟化氧化钇涂覆膜
技术领域
本发明的各种实施例涉及氟化氧化钇涂覆膜的形成方法及基于其的氟化氧化钇涂覆膜。
背景技术
一般而言,显示装置包括液晶显示装置(Liquid Crystal Display:LCD)、有机发光显示装置(Organic Light Emitting Display:OLED)、场效应显示装置(Field EffectDisplay:FED)及电泳显示装置(eletrophoretic display device)等。另外,显示装置包括显示图像的显示模块和包括显示模块的透明窗口(transparent window)等。
另一方面,在半导体和/或显示装置的制造工序中,为了很高的蚀刻率和精确的线宽,正在使用氯类或氟类的具有高腐蚀性的气体。在这种严苛的环境中使用的制造工序装备,为了运行优势和延长使用时间,在工序装备的表面,包括对等离子体及腐蚀气体的抵抗性高的保护薄膜。
现有技术文献
专利文献
韩国公开专利公报10-2014-0126824(2014年11月03日)
韩国授权专利公报10-1322783(2013年10月29日)
发明内容
技术问题
本发明的各种实施例提供一种氟化氧化钇涂覆膜的形成方法及基于其的氟化氧化钇涂覆膜,不仅气孔率极小(或填充率极高)且具有纳米结构,从而光透过率高,而且硬度及接合强度高,可以保护显示装置的透明窗口。
本发明的各种实施例提供一种氟化氧化钇涂覆膜的形成方法及基于其的氟化氧化钇涂覆膜,由于硬度高,因此针对腐蚀性气体及高速碰撞离子粒子具有高蚀刻抵抗性,因而可以在蚀刻工序中保护半导体/显示零件。
问题解决方案
本发明的各种实施例的氟化氧化钇涂覆膜的形成方法可以包括以下步骤:提供包含钇(Y)、氧(O)及氟(F)的预处理前YOF粉末;对所述预处理前YOF粉末进行预处理而提供预处理后YOF粉末;接收从移送气体供给部供给的移送气体,接收从粉末供给部供给的所述预处理后YOF粉末,以气溶胶状态移送所述预处理后YOF粉末;以及使以所述气溶胶状态移送的所述预处理后YOF粉末,碰撞在工序腔室内的基材并被破碎(喷射),在所述基材上形成YOF涂覆膜。
所述预处理后YOF粉末可以具有0.1μm至12μm的粒径范围。
所述预处理可以在粉碎预处理前YOF粉末后,以100℃至1000℃温度进行热处理而实现。
所述预处理可以将预处理前YOF粉末以100℃至1000℃温度进行热处理而实现。
当所述YOF涂覆膜的厚度为0.5μm至20μm时,所述YOF涂覆膜对可见光线的光透过率可以为50%至95%。
所述YOF涂覆膜的雾度可以为0.5%至5%。
所述YOF涂覆膜的硬度可以为6GPa至12Gpa。
所述YOF涂覆膜的气孔率可以为0.01%至1%,硬度为6GPa至12Gpa,耐电压特性为50V/μm至150V/μm。
所述预处理前YOF粉末、所述预处理后YOF粉末及所述YOF涂覆膜的EDS(Energy-dispersive X-ray spectroscopy)成分比可以为5:4:7或1:1:1。
所述预处理前YOF粉末、所述预处理后YOF粉末及所述YOF涂覆膜的晶系可以包括斜方晶系或三方晶系。
所述基材可以是显示装置的透明窗口或暴露于等离子体环境的零件。
所述透明窗口可以是玻璃基板、塑料基板、蓝宝石基板或石英基板,所述零件可以为用于制造半导体或显示装置的工序腔室的内部零件。
所述零件可以是静电卡盘(electro static chuck)、加热器(heater)、腔室衬里(chamber liner)、喷头(shower head)、CVD(Chemical Vapor Deposition)用舟皿(boat)、聚焦环(focus ring)、壁衬(wall liner)、屏蔽罩(shield)、冷垫(cold pad)、源头(sourcehead)、外衬(outer liner)、沉积屏蔽罩(deposition shiled)、上部衬(upper liner)、排气板(exhaust plate)、边缘环(edge ring)及掩模框(mask frame)中的任一个。
本发明各种实施例的氟化氧化钇涂覆膜作为以上述方法形成的氟化氧化钇涂覆膜,当YOF涂覆膜的厚度为0.5μm至20μm时,所述YOF涂覆膜对可见光线的光透过率可以为50%至95%。所述YOF涂覆膜的雾度可以为0.5%至5%。
本发明各种实施例的氟化氧化钇涂覆膜作为使用上述方法形成的YOF涂覆膜,所述YOF涂覆膜的钇(Y)、氧(O)及氟(F)的EDS(Energy-dispersive X-ray spectroscopy)成分比可以为5:4:7或1:1:1。所述YOF涂覆膜的硬度可以为6GPa至12Gpa。在所述YOF涂覆膜的厚度为0.5μm至20μm时,所述YOF涂覆膜对可见光线的光透过率可以为50%至95%。
发明效果
本发明提供一种氟化氧化钇涂覆膜的形成方法及基于其的氟化氧化钇涂覆膜,不仅气孔率极小(或填充率极高)且具有纳米结构,光透过率高,而且硬度及接合强度高,可以保护显示装置的透明窗口。
即,本发明的薄膜气孔率大致为0.01%至1%,光透过率大致为50%至95%(以涂覆膜厚度0.5μm至20μm为基准),可以充分用作硬度大致为6Gpa至12Gpa的透明窗口的透明保护膜。
另外,本发明提供一种氟化氧化钇涂覆膜的形成方法及基于其的氟化氧化钇涂覆膜,使用作为与蚀刻气体反应而气化的、升华热高的材料的氟化氧化钇,可以在蚀刻工序中保护半导体/显示零件。
即,本发明的涂覆膜在高密度等离子体蚀刻环境下,由于硬度高,针对腐蚀性气体及高速碰撞离子粒子具有高蚀刻抵抗性,因而可以充分用作暴露于诸如半导体/显示零件的等离子体蚀刻工序环境的零件的保护膜。另外,本发明的涂覆膜的耐电压特性大致为50至150V/μm,这可以充分满足半导体/显示零件的制造工序中要求的耐电压范围。
附图简要说明
图1是表示本发明各种实施例的用于形成氟化氧化钇涂覆膜的装置的示意图。
图2是表示本发明各种实施例的氟化氧化钇涂覆膜形成方法的流程图。
图3是表示本发明各种实施例的用于形成氟化氧化钇涂覆膜的YOF粉末的粒径范围及体积密度的曲线图。
图4表示了本发明各种实施例的用于形成氟化氧化钇涂覆膜的预处理后YOF粉末照片。
图5是表示本发明各种实施例的关于氟化氧化钇涂覆膜的相对于光波长的透过率的曲线图。
图6是表示本发明各种实施例的关于氟化氧化钇涂覆膜的光的雾度的照片。
图7是表示本发明各种实施例的氟化氧化钇涂覆膜的放大剖视图。
图8是表示本发明各种实施例的氟化氧化钇涂覆膜的硬度特性的曲线图。
图9是表示本发明各种实施例的用于形成氟化氧化钇涂覆膜的预处理前及预处理后(粉末研磨后热处理)的YOF粉末的粒径范围及体积密度的曲线图。
图10a及图10b是表示本发明各种实施例的预处理前YOF粉末、预处理后YOF粉末及YOF涂覆膜的相分析结果的X射线衍射图及EDS(Energy-dispersive X-ray spectroscopy:能量弥散X射线谱)分析结果,图10c是整理重量比、原子比及EDS比的表。
图11a及图11b是表示本发明各种实施例的预处理前YOF粉末、预处理后YOF粉末及YOF涂覆膜的相分析结果的X射线衍射图及EDS(Energy-dispersive X-ray spectroscopy)分析结果,图11c是整理重量比、原子比及EDS比的表。
图12是整理了本发明各种实施例的预处理前YOF粉末、预处理后YOF粉末、YOF涂覆膜及工序条件等的表。
图13a及图13b是表示本发明各种实施例的YOF涂覆膜(5:4:7)及YOF涂覆膜(1:1:1)的剖视图。
图14是表示本发明各种实施例的耐等离子体性氟化氧化钇涂覆膜的硬度特性的曲线图。
图15是表示本发明各种实施例的关于氟化氧化钇涂覆膜的相对于光波长的透过率的曲线图。
具体实施方式
下面参照附图,详细说明本发明优选实施例。
本发明的实施例提供用于向该技术领域的普通技术人员更完整地说明本发明,下述实施例可以变形为多种不同形态,本发明的范围并不限定于下述实施例。反而,这些实施例使本公开更充分、完整,从而向从业人员完整地传递本发明的思想。
另外,在本说明书中使用的术语用于说明特定实施例,并非用于限定本发明。正如本说明书中使用的那样,如果在文理上未明确指出不同情形,则单数形态可以包括复数形态。另外,本说明书中使用的“包括(comprise)”和/或“包括的(comprising)”,是对提及的形状、步骤、数字、动作、部件、要素和/或他们组合的存在进行特定,并非排除一个以上其他形状、步骤、数字、动作、部件、要素和/或他们组合的存在或附加。另外,正如在本说明书中所使用的,术语“和/或”包括相应列举的项目中某一个及一个以上的所有组合。
图1是表示本发明各种实施例的用于形成氟化氧化钇涂覆膜的装置的示意图,图2是表示本发明各种实施例的氟化氧化钇涂覆膜形成方法的流程图。
如图1所示,本发明的氟化氧化钇涂覆膜形成装置200包括:移送气体供给部210;粉末供给部220,所述粉末供给部220保管及供给预处理后YOF(YTTRIUM OXIDE FLUORIDE,氟化氧化钇)粉末(YOF powder);移送管222,所述移送管222利用移送气体,从粉末供给部220以气溶胶(aerosol)状态高速移送预处理后YOF粉末;喷嘴232,所述喷嘴232将来自移送管222的预处理后YOF粉末,涂覆/层叠或喷涂/喷射于基材231;工序腔室230,所述工序腔室230使得来自所述喷嘴232的预处理后YOF粉末在基材231表面碰撞及破碎,从而形成既定厚度的氟化氧化钇涂覆膜。
其中,所谓气溶胶,意味着在移送气体内分散粒径范围大致为0.1μm至12μm的预处理后YOF粉末。
同时参照图1及图2,说明本发明的氟化氧化钇涂覆膜形成方法。
移送气体供给部210中存储的移送气体可以为选自由氧气、氦气、氮气、氩气、二氧化碳、氢气及其等价物构成的组中的一种或两种的混合物,但在本发明中,移送气体的种类不限定。移送气体从移送气体供给部210通过管道211直接供给到粉末供给部220,可以借助于流量调节器250而调节其流量及压力。
粉末供给部220保管及供给大量的预处理后YOF粉末,这种预处理后YOF粉末借助于上述的移送气体供给部210的移送气体而成为气溶胶状态,经过过移送管222及喷嘴232,供给给配备于工序腔室230的基材232。
工序腔室230在氟化氧化钇涂覆膜形成期间保持真空状态,为此,可以连接有真空单元240。更具体而言,工序腔室230的压力可以大致为1帕至800帕,借助于高速移送管222而移送的预处理后YOF粉末的压力可以大致为500帕至2000帕。但是,不管在何种情况下,高速移送管222的压力应高于工序腔室230的压力。
而且,工序腔室230的内部温度范围大致保持在0℃至30℃,因此,无需另行用于使工序腔室230的内部温度增加或减小所需的部件。即,移送气体或/及基材不另行加热,可以保持在0℃至30℃温度。因此,在本发明中,当对显示装置的窗口形成透明保护膜时,使得基材不受热冲击。
但是,根据情况,为了提高氟化氧化钇涂覆膜的蒸镀效率及致密度,移送气体或/及基材也可以加热至大致30℃至1000℃温度。即,借助于另外的未图示的加热器,移送气体供给部210内的移送气体可以被加热,或借助于另外的未图示的加热器,工序腔室230内的基材231可以被加热。借助于这种移送气体或/及基材的加热,在形成氟化氧化钇涂覆膜时,施加于预处理后YOF粉末的压力减小,从而获得气孔率小且致密的氟化氧化钇涂覆膜。其中,当移送气体或/及基材高于大致1000℃温度时,预处理后YOF粉末熔融并引起急剧的相变,因此,氟化氧化钇涂覆膜的气孔率升高(填充率降低),氟化氧化钇涂覆膜的内部结构会变得不稳定。
但是,在本发明中,并非限定这种温度范围,根据将形成薄膜的基材的特性,移送气体、基材和/或工序腔室的内部温度范围可以在0℃至1000℃之间调整。即,如上所述,为了涂覆显示装置的窗口,可以提供大致0℃至30℃的工序温度,为了涂覆半导体/显示装置工序装备,可以提供大致0℃至1000℃的工序温度。
另一方面,如上所述,工序腔室230和高速移送管222(或移送气体供给部210或粉末供给部220)之间的压力差异可以大致为1.5倍至2000倍。当压力差异小于大致1.5倍时,预处理后YOF粉末的高速移送会比较困难,当压力差异大于大致2000倍时,基材的表面反而会因预处理后YOF粉末而过度蚀刻/刻蚀。
由于这种工序腔室230和移送管222之间的压力差异,来自粉末供给部220的预处理后YOF粉末在经过移送管222进行喷射的同时,高速传送给工序腔室230。
另外,在工序腔室230内,配备有连接于移送管222的喷嘴232,以大致100至500m/s的速度,使预处理后YOF粉末在基材231碰撞/破碎。即,经过喷嘴232的预处理后YOF粉末借助于在移送中获得的动能和高速碰撞时发生的碰撞能量而破碎和/或粉碎,并在基材231的表面形成既定厚度的氟化氧化钇涂覆膜。
图3是表示本发明各种实施例的用于形成氟化氧化钇涂覆膜的预处理后YOF粉末的粒径范围及体积密度的曲线图。在图3中,X轴为YOF粉末的粒径(μm),Y轴为体积密度(%)。
如图3所示,通过预处理,气溶胶喷涂方法或常温真空喷射方法所使用的YOF粉末可以具有大致0.1μm至12μm的粒径范围。
另一方面,预处理工序可以将预处理前Y仅执行OF粉末在大致100℃至1000℃温度下进行热处理和/或粉碎而实现。其中,可以热处理工序,或仅执行粉碎工序,或在热处理工序之后执行粉碎工序,或在粉碎工序之后执行热处理工序,或同时执行热处理工序和粉碎工序。
作为一个示例,预处理前YOF粉末,通过由大致具有5mm至20mm直径的高纯度氧化锆球、氧化铝球和/或其合金球借助于球磨工序,可以粉碎大致1小时至30小时时间。而且,预处理前YOF粉末可以在大致100℃至1000℃温度下热处理大致1小时至30小时时间。
借助于这种粉碎和/或热处理工序,获得预处理后YOF粉末,这种预处理后YOF粉末通过上述的气溶胶喷涂方法或常温真空喷射方法而形成透明YOF薄膜。
而且,这种预处理工序,即,粉碎和/或热处理工序,可以在本发明的所有实施例中共享。
图4表示了本发明各种实施例的用于形成氟化氧化钇涂覆膜的预处理后YOF粉末照片。
如图4所示,预处理后YOF粉末大体上为针状、球形、角形等,但并非以此限定本发明,此外也可以具有各种形状。
图5是表示本发明各种实施例的关于氟化氧化钇涂覆膜的相对于光波长的透过率的曲线图。在图5中,X轴为光的波长范围(nm),Y轴为透过度(%)。另外,此时的氟化氧化钇涂覆膜的厚度大致为1.4μm至7μm。
如图5所示,本发明实施例的氟化氧化钇涂覆膜在大致400nm至700nm波长范围(即,可见光线区域)内,当薄膜厚度大致为1.4μm时,表现出大致88.5%的透过率,当薄膜厚度大致为7μm时,表现出大致74.8%的透过率,随着厚度增加,当薄膜成膜至大致20μm厚度时,光透过率表现出大致50%至95%范围的透过率。因此,可知本发明实施例的氟化氧化钇涂覆膜适合保护显示装置的透明窗口。
其中,当利用未执行预处理的YOF粉末(原材料)时,无法形成氟化氧化钇涂覆膜本身,因而无法与本发明的薄膜比较光透过率的差异。即,利用未执行粉末粉碎及热处理等预处理的YOF粉末,在基板上无法形成既定厚度的薄膜本身,因此,无法比较光透过率本身。
图6是表示本发明各种实施例的关于氟化氧化钇涂覆膜的光的雾度的照片。此时的氟化氧化钇涂覆膜的厚度如图5所示,大致为1.5μm。
如图6所示,本发明实施例的氟化氧化钇涂覆膜的雾度(全体透过率中的散射透过率,越低则意味着越透明)大致为0.5%至5%,具体而言,在1.5μm厚度时,观察到1±0.01%的雾度。因此,可知本发明实施例的氟化氧化钇涂覆膜适合保护显示装置的透明窗口。
图7是表示本发明各种实施例的氟化氧化钇涂覆膜的放大剖视图。
根据本发明的实施例,在基材上形成的氟化氧化钇涂覆膜未发现表面微裂隙,根据涂覆膜工序条件,呈现出大致0.01%至1%的气孔率。
图8是表示本发明各种实施例的氟化氧化钇涂覆膜的硬度特性的曲线图。其中,X轴是用金刚石方锥按压的氟化氧化钇涂覆膜的深度(nm),Y轴是利用金刚石方锥按压的力(μN)。
如图8所示,如果金刚石方锥以大致0~8000μN的力按压氟化氧化钇涂覆膜,则在氟化氧化钇涂覆膜上形成具有大致200nm以下深度的凹槽,如果金刚石方锥再以大致8000~0μN的力从氟化氧化钇涂覆膜分离,则在氟化氧化钇涂覆膜形成具有大致185~190nm深度的凹槽。
如果利用这种特性曲线图的数据来计算氟化氧化钇涂覆膜的硬度,则获得大致11.8Gpa的硬度值。因此,在本发明中,可以确认YOF氟化氧化钇涂覆膜获得大致12Gpa以下硬度。
其中,即使在金刚石方锥从氟化氧化钇涂覆膜分离后,在氟化氧化钇涂覆膜留下具有大致185nm深度的凹槽的理由是,意味着氟化氧化钇涂覆膜发生了塑性变形。
图9是表示本发明各种实施例的用于形成氟化氧化钇涂覆膜的预处理前及预处理后(粉末研磨后热处理)的YOF粉末的粒径范围及体积密度的曲线图。在图9中,X轴为YOF粉末的粒径(μm),Y轴为体积密度(%)。
如图9所示,当为在气溶胶涂覆或常温真空喷涂方法所使用的YOF粉末时,通过粉碎及热处理等预处理,可以具有大致0.1μm至12μm的粒径范围。具体而言,当钇:氧化物:氟(Yttrium:Oxide:Fluorine)的成分比大致为5:4:7的YOF粉末时,具有预处理后大致0.1μm至12μm的粒径范围,当钇:氧化物:氟的成分比大致为1:1:1的YOF粉末时,预处理后表现出大致0.1μm至3μm的粒径范围。因此,在常温喷涂方法使用的YOF涂覆,可以通过平均具有大致0.1μm至12μm粒径范围的粉末而制作涂覆膜。
另一方面,预处理5:4:1或1:1:1的YOF粉末的预处理工序与上面说明的内容相同,因而省略对其的说明。
通过粉碎和/或热处理工序获得了预处理后YOF粉末,这种预处理后YOF粉末通过上述的气溶胶喷涂方法或常温真空喷射方法而形成耐等离子体性和/或透明氟化氧化钇涂覆膜。
实施例1
图10a及图10b是表示本发明各种实施例的预处理前YOF粉末、预处理后YOF粉末及YOF涂覆膜的相分析结果的X射线衍射图及EDS(Energy-dispersive X-ray spectroscopy;能量色散X射线谱)分析结果,图10c是整理了重量比、原子比及EDS比的表。其中,图10a的X轴为2θ值,Y轴为相对强度。另外,在图10b中,X轴为能量(keV),Y轴为计数次数(cps/ev)。而且,EDS比是将在YOF涂覆膜中测量的At.%变换后的值。
如图10a所示,预处理前YOF(raw powder)、预处理后YOF(synthesis powder)及YOF涂覆膜(film)的2θ值均相同,因而可知YOF的物理性能在预处理前/后及在涂覆膜中不会改变。即,可知预处理前/后及在涂覆膜中,YOF粉末依然保持斜方晶系(Orthorhombic)的结晶特性。其中,预处理实质上在粉碎(粉末研磨)工序之后执行热处理工序而实现。
如图10b所示,可以看到预处理前YOF粉末原材料、预处理后YOF粉末及YOF涂覆膜在钇、氧及氟的比率方面几乎无差异。
即,如图10c所示,可以看到预处理前YOF粉末原材料、预处理后YOF粉末及YOF涂覆膜的重量比(Wt%)、原子比(At.%)和/或EDS比,在预处理前/后及在涂覆膜中几乎不变。尤其是预处理前YOF粉末原材料、预处理后YOF粉末及YOF涂覆膜的EDS比大致为5:4:7,可以看到在预处理前/后及涂覆膜形成后几乎不变。
如上所述可知,根据本发明的实施例,可以通过气溶胶蒸镀或低温喷射工序而形成钇、氧及氟的EDS比率大致为5:4:7的具有斜方晶系结晶结构的涂覆膜。
实施例2
图11a及图11b是表示本发明各种实施例的预处理前YOF粉末、预处理后YOF粉末及YOF涂覆膜的相分析结果的X射线衍射图及EDS(Energy-dispersive X-ray spectroscopy)分析结果,图11c是整理了重量比、原子比及EDS比的表。其中,图11a的X轴为2θ值,Y轴为相对强度。另外,在图11b中,X轴为能量(keV),Y轴为计数次数(cps/ev)。而且,EDS比是将在YOF涂覆膜中测量的At.%变换后的值。
如图11a所示,预处理前YOF(raw powder)、预处理后YOF(synthesis powder)及YOF涂覆膜(film)的2θ值均相同,因而可知YOF物理性能在预处理前/后及在涂覆膜中不会改变。即,可知在预处理前/后及在涂覆膜中,YOF粉末依然保持三方晶系(Rhombohedral)的结晶特性。其中,预处理实质上执行热处理工序而实现。
如图11b所示,可以看到预处理前YOF粉末原材料、预处理后YOF粉末及YOF涂覆膜在钇、氧及氟的比率方面几乎无差异。
即,如图11c所示,可以看到预处理前YOF粉末原材料、预处理后YOF粉末及YOF涂覆膜的重量比(Wt%)、原子比(At.%)和/或EDS比,在预处理前/后及在涂覆膜中几乎不变。尤其是预处理前YOF粉末原材料、预处理后YOF粉末及YOF涂覆膜的EDS比大致为1:1:1,可以看到在预处理前/后及涂覆膜形成后几乎不变。
如上所述可知,根据本发明的实施例,可以通过气溶胶蒸镀或低温喷射工序而形成钇、氧及氟的EDS比率大致为1:1:1的具有三方晶系结晶结构的涂覆膜。尤其是根据本发明实施例可知,随着调整预处理条件EDS成分比及结晶相也不变。
图12是整理了本发明各种实施例的预处理前YOF粉末、预处理后YOF粉末、YOF涂覆膜及工序条件等的表。
如图12所示,当如实施例1及实施例2所示,当使用EDS成分比为5:4:7及1:1:1的YOF粉末,以气溶胶涂覆或常温真空喷涂方法制作涂覆膜时,可以获得初始粉末的成分比及结晶相不变的陶瓷涂覆膜。
如图10c、11c及图13a、13b所示,根据本发明实施例,在基材上形成的耐等离子体性和/或透明氟化氧化钇涂覆膜,未在表面/截面发现微裂隙,另外,呈现出大致0.01%至1%的气孔率。具体而言,确认了YOF成分比为5:4:7的YOF涂覆膜的截面气孔率具有0.01%,YOF成分比为1:1:1的YOF涂覆膜具有0.5%气孔率,通过常温喷涂方法,形成高密度的YOF涂覆膜。
其中,气孔率是利用扫描电子显微镜拍摄了截断的氟化氧化钇涂覆膜,将拍摄的影像利用影像处理软件进行处理,从而计算了氟化氧化钇涂覆膜的气孔率。另外,如上所述,氟化氧化钇涂覆膜的气孔率具有0.01%至1.0%的值,从而反过来可知氟化氧化钇涂覆膜的填充率为99.00%至99.99%。
下表1是比较上述的耐等离子体性和/或透明YOF涂覆膜的多种物理性能的表。
[表1]
预处理后(O) 预处理前(X)
硬度 6Gpa至12GPa 未形成涂覆膜
气孔率 0.01%至1.0% 未形成涂覆膜
耐电压 50V/μm至150V/μm 未形成涂覆膜
如表1记载所示,YOF涂覆膜的硬度在将HV换算成Gpa单位时,大致为6GPa至12Gpa。另外,YOF涂覆膜的气孔率为0.01%至0.1%,YOF涂覆膜的耐电压为50V/μm至150V/μm。相反,就预处理前YOF粉末而言,由于涂覆膜本身未形成,因而无法获得硬度、蚀刻率、气孔率及耐电压的数据。就预处理前YOF粉末而言,由于是未控制粒度分布的粉末,因而当以常温喷涂方法形成薄膜时,不同粒子大小下的冲击量相异,从而发生粉末堆积或基板刻蚀,不形成涂覆膜,而通过利用预处理而具有适合常温喷涂工序的粒度范围的YOF粉末,形成了高密度的YOF涂覆膜。
如上所述,本发明实施例的YOF涂覆膜的硬度、蚀刻率、气孔率及耐电压特性均优良,因此可知,YOF涂覆膜可以用作暴露于等离子体环境的半导体/显示装置的零件保护膜和/或显示装置的透明窗口保护膜。
其中,硬度通过利用金刚石方锥按压YOF涂覆膜而生成的痕迹来测量,气孔率是将YOF涂覆膜截断,并利用电子显微镜拍摄而获得图像,将这种图像利用安装了影像处理软件的计算机进行分析而测量,耐电压是在金属基板上制作YOF涂覆膜,以金属基板为下端部电极,在薄膜的上端安装电极而进行测量。这些各种测量方法是行业人员已周知的内容,因而省略对其的详细说明。
另一方面,形成本发明的YOF涂覆膜的基材如上所述,可以是暴露于等离子体环境的半导体和/或显示装置制造用工序腔室的内部零件和/或显示装置的透明窗口。
暴露于等离子体环境的零件可以是静电卡盘(electro static chuck)、加热器(heater)、腔室衬里(chamber liner)、喷头(shower head)、CVD(Chemical VaporDeposition)用舟皿(boat)、聚焦环(focus ring)、壁衬(wall liner)、屏蔽罩(shield)、冷垫(cold pad)、源头(source head)、外衬(outer liner)、沉积屏蔽罩(depositionshiled)、上部衬(upper liner)、排气板(exhaust plate)、边缘环(edge ring)、掩模框(mask frame)及其等价物中的任一个。但是,在本发明中,对形成有这种YOF涂覆膜的基材或零件不作限定。
另外,透明窗口可以为玻璃基板、塑料基板、蓝宝石基板或石英基板,尤其是本发明在透明窗口为玻璃基板或塑料基板的情况下,可以在低温(0℃至30℃)下形成YOF透明涂覆膜,因而可以防止上述的玻璃基板或塑料基板的损伤现象。
其中,塑料基板可以包括具有大致140℃左右的Tg(软化点:glass transitiontemperature)和大致340℃左右的Tm(熔点:melting temperature)的PET(PolyethyleneTerephthalate:聚对苯二甲酸乙二醇酯)、PEN(Polyethylene naphthalate:聚萘二甲酸乙二醇酯)、PEEK(Polyetheretherketon:聚醚醚酮)等热可塑性半结晶性塑料(thermoplastic semicrystalline polymer)。另外,塑料基板可以包括Tg高于上述半结晶性塑料且未表现出Tm的热可塑非晶质(amorphous)塑料,如具有大致150℃的Tg的PC(聚碳酸酯)、具有220℃的Tg的PES(聚醚砜)等。另外,塑料基板也可以以具有相对较高耐热性的PI(聚酰亚胺)、芳香族聚酯(polyarylate)、PAR(聚芳酯)等制造。
图14是表示本发明各种实施例的耐等离子体性氟化氧化钇涂覆膜的硬度特性的曲线图。其中,X轴为利用金刚石方锥按压的氟化氧化钇涂覆膜的深度(nm),Y轴为借助于金刚石方锥而按压的力(μN)。
如图14所示,如果金刚石方锥以大致0~8000μN的力按压氟化氧化钇涂覆膜,则在氟化氧化钇涂覆膜上形成具有大致200nm以下深度的凹槽,如果金刚石方锥再以大致8000~0μN的力从氟化氧化钇涂覆膜分离,则在氟化氧化钇涂覆膜上形成具有大致185~225nm深度的凹槽。具体而言,YOF成分比为5:4:7的涂覆膜时,形成185~190nm凹槽,YOF成分比为1:1:1的涂覆膜时,形成215~225nm凹槽。
如果利用这种特性曲线图的数据来计算氟化氧化钇涂覆膜的硬度,大致YOF为5:4:7的涂覆膜获得大致11.8Gpa,YOF为1:1:1的涂覆膜获得大致7.8Gpa的硬度值。YOF涂覆膜的硬度特性出现差异的原因是,与因材料成分比所导致的情况相比,根据不同涂覆膜工序条件而形成的薄膜致密度所导致的。在YOF为5:4:7的涂覆膜的情况下,形成了99.9%密度的涂覆膜,YOF为1:1:1的涂覆膜由于99.5%的密度而导致硬度下降,通过控制工序条件,可以表现出大致12Gpa的硬度特性。可以确认,在本发明中,氟化氧化钇涂覆膜获得大致12Gpa以下硬度。
其中,即使在金刚石方锥从氟化氧化钇涂覆膜分离后,在氟化氧化钇涂覆膜留下具有大致185nm及215nm深度的凹槽的理由,意味着氟化氧化钇涂覆膜发生了塑性变形。
另一方面,利用预处理后YOF粉末制作涂覆膜之后,为了进一步提高涂覆膜强度,还可通过氧气或空气热处理而进行氧氟化(Oxy-Fluoride)处理,但确认了此时大量的氧进一步扩散。即,在执行这种氧氟化处理时,形成有氟化氧化钇涂覆膜的Y、O、F成分比并不是初始粉末成分比5:4:7或1:1:1的、氧增加的YOF涂覆膜,可以在更高的高温工序中形成Y2O3。而且,涂覆膜后热处理虽然使涂覆膜内部残存的残留应力缓解,提高机械特性,但由于高温热处理工序(500~1000℃),难以应用于光透过性基板(玻璃、石英、塑料基板),尤其是由于涂覆膜内部存在的大量氧,具有光透过率反而显著下降的结果。
图15是表示本发明各种实施例的关于氟化氧化钇涂覆膜的相对于光波长的透过率的曲线图。在图15中,X轴为光的波长范围(nm),Y轴为透过度(%)。另外,此时的氟化氧化钇涂覆膜的厚度大致为1.4μm。
如图15所示,本发明实施例的氟化氧化钇涂覆膜在大致400nm至700nm的波长范围(即,可见光线区域),光透过率表现出大致83.1%至88.5%的透过率。因此,可知本发明实施例的氟化氧化钇涂覆膜不仅是在耐等离子体领域,而且适合保护显示装置的透明窗口。
其中,在利用未执行预处理的YOF粉末(原材料)的情况下,无法形成氟化氧化钇涂覆膜本身,从而无法与本发明的涂覆膜比较光透过率的差异。即,借助于未执行热处理/球磨的YOF粉末,在基板上不形成既定厚度的薄膜本身,因此,光透过率本身无法比较。
以上说明的内容只不过是用于实施本发明的氟化氧化钇涂覆膜的形成方法及基于其的氟化氧化钇涂覆膜的一个实施例,本发明不限于上述实施例,如上述权利要求书请求的内容所示,在不超出本发明要旨的情况下,只要是相应发明所属领域的普通技术人员便会理解,本发明的技术精神延伸至可实施各种变更的范围。

Claims (20)

1.一种氟化氧化钇涂覆膜的形成方法,其特征在于,包括以下步骤:
提供包含钇(Y)、氧(O)及氟(F)的预处理前YOF粉末;
对所述预处理前YOF粉末进行预处理而提供预处理后YOF粉末;
接收从移送气体供给部供给的移送气体,接收从粉末供给部供给的所述预处理后YOF粉末,以气溶胶状态移送所述预处理后YOF粉末;以及
使以所述气溶胶状态移送的所述预处理后YOF粉末,碰撞到工序腔室内的基材并被破碎,在所述基材上形成YOF涂覆膜。
2.根据权利要求1所述的氟化氧化钇涂覆膜的形成方法,其特征在于,
所述预处理后YOF粉末具有0.1μm至12μm的粒径范围。
3.根据权利要求1所述的氟化氧化钇涂覆膜的形成方法,其特征在于,
所述预处理,是在粉碎预处理前YOF粉末后以100℃至1000℃温度进行热处理而实现。
4.根据权利要求1所述的氟化氧化钇涂覆膜的形成方法,其特征在于,
所述预处理,是将预处理前YOF粉末以100℃至1000℃温度进行热处理而实现。
5.根据权利要求1所述的氟化氧化钇涂覆膜的形成方法,其特征在于,
当所述YOF涂覆膜的厚度为0.5μm至20μm时,所述YOF涂覆膜对可见光线的光透过率为50%至95%。
6.根据权利要求1所述的氟化氧化钇涂覆膜的形成方法,其特征在于,
所述YOF涂覆膜的雾度为0.5%至5%。
7.根据权利要求1所述的氟化氧化钇涂覆膜的形成方法,其特征在于,
所述YOF涂覆膜的硬度为6GPa至12Gpa。
8.根据权利要求1所述的氟化氧化钇涂覆膜的形成方法,其特征在于,
所述YOF涂覆膜的气孔率为0.01%至1%,硬度为6GPa至12Gpa,耐电压特性为50V/μm至150V/μm。
9.根据权利要求1所述的氟化氧化钇涂覆膜的形成方法,其特征在于,
所述预处理前YOF粉末、所述预处理后YOF粉末及所述YOF涂覆膜的能量色散X射线谱成分比为5:4:7。
10.根据权利要求1所述的氟化氧化钇涂覆膜的形成方法,其特征在于,
所述预处理前YOF粉末、所述预处理后YOF粉末及所述YOF涂覆膜的能量色散X射线谱成分比为1:1:1。
11.根据权利要求1所述的氟化氧化钇涂覆膜的形成方法,其特征在于,
所述预处理前YOF粉末、所述预处理后YOF粉末及所述YOF涂覆膜的晶系包括斜方晶系。
12.根据权利要求1所述的氟化氧化钇涂覆膜的形成方法,其特征在于,
所述预处理前YOF粉末、所述预处理后YOF粉末及所述YOF涂覆膜的晶系包括三方晶系。
13.根据权利要求1所述的氟化氧化钇涂覆膜的形成方法,其特征在于,
所述基材是显示装置的透明窗口或暴露于等离子体环境的零件。
14.根据权利要求13所述的氟化氧化钇涂覆膜的形成方法,其特征在于,
所述透明窗口是玻璃基板、塑料基板、蓝宝石基板或石英基板,
所述零件是用于制造半导体或显示装置的工序腔室的内部零件。
15.根据权利要求14所述的氟化氧化钇涂覆膜的形成方法,其特征在于,
所述零件是静电卡盘、加热器、腔室衬里、喷头、化学气相沉积用舟皿、聚焦环、壁衬、屏蔽罩、冷垫、源头、外衬、沉积屏蔽罩、上部衬、排气板、边缘环及掩模框中的任一个。
16.一种氟化氧化钇涂覆膜,使用权利要求1所述的方法形成,其特征在于,
当YOF涂覆膜的厚度为0.5μm至20μm时,所述YOF涂覆膜对可见光线的光透过率为50%至95%。
17.根据权利要求16所述的氟化氧化钇涂覆膜,其特征在于,
所述YOF涂覆膜的雾度为0.5%至5%。
18.一种氟化氧化钇涂覆膜,是使用权利要求1所述的方法形成的YOF涂覆膜,其特征在于,
所述YOF涂覆膜的钇(Y)、氧(O)及氟(F)的能量色散X射线谱成分比为5:4:7或1:1:1。
19.根据权利要求18所述的氟化氧化钇涂覆膜,其特征在于,
所述YOF涂覆膜的硬度为6GPa至12Gpa。
20.根据权利要求19所述的氟化氧化钇涂覆膜,其特征在于,
当所述YOF涂覆膜的厚度为0.5μm至20μm时,所述YOF涂覆膜对可见光线的光透过率为50%至95%。
CN201880042939.0A 2017-05-26 2018-05-25 氟化氧化钇涂覆膜的形成方法及基于其的氟化氧化钇涂覆膜 Pending CN110800082A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
KR1020170065283A KR102062397B1 (ko) 2017-05-26 2017-05-26 플로라이드화 옥사이드 박막의 형성 방법 및 이에 따른 플로라이드화 옥사이드 박막
KR1020170065284A KR102106533B1 (ko) 2017-05-26 2017-05-26 플로라이드화 이트륨 옥사이드 코팅막의 형성 방법 및 이에 따른 플로라이드화 이트륨 옥사이드 코팅막
KR10-2017-0065283 2017-05-26
KR10-2017-0065284 2017-05-26
PCT/KR2018/005974 WO2018217062A1 (ko) 2017-05-26 2018-05-25 플로라이드화 이트륨 옥사이드 코팅막의 형성 방법 및 이에 따른 플로라이드화 이트륨 옥사이드 코팅막

Publications (1)

Publication Number Publication Date
CN110800082A true CN110800082A (zh) 2020-02-14

Family

ID=64396937

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880042939.0A Pending CN110800082A (zh) 2017-05-26 2018-05-25 氟化氧化钇涂覆膜的形成方法及基于其的氟化氧化钇涂覆膜

Country Status (4)

Country Link
US (1) US11668011B2 (zh)
JP (1) JP6959363B2 (zh)
CN (1) CN110800082A (zh)
WO (1) WO2018217062A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11424140B2 (en) * 2019-10-10 2022-08-23 Samsung Electronics Co., Ltd. Member, method of manufacturing the same, apparatus for manufacturing the same, and semiconductor manufacturing apparatus
JP7426796B2 (ja) * 2019-10-10 2024-02-02 三星電子株式会社 部材、その製造方法、その製造装置、及び半導体製造装置
KR102349212B1 (ko) * 2021-04-28 2022-01-07 권순영 조성 조절이 가능한 코팅층 형성방법
KR102416127B1 (ko) * 2021-11-01 2022-07-05 (주)코미코 구상의 yof계 분말의 제조방법, 이를 통해 제조된 구상의 yof계 분말 및 yof계 코팅층
JP7154517B1 (ja) 2022-02-18 2022-10-18 Agc株式会社 イットリウム質保護膜およびその製造方法ならびに部材

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1938451A (zh) * 2004-03-31 2007-03-28 东陶机器株式会社 使用气溶胶制造被膜的方法、用于该方法的粒子混合物、以及被膜和复合材料
KR20100076669A (ko) * 2008-12-26 2010-07-06 아이원스 주식회사 세라믹 분말 및 그 제조방법
WO2016129457A1 (ja) * 2015-02-10 2016-08-18 日本イットリウム株式会社 成膜用粉末及び成膜用材料
JP2016211072A (ja) * 2015-05-08 2016-12-15 東京エレクトロン株式会社 溶射用材料、溶射皮膜および溶射皮膜付部材
CN106298455A (zh) * 2016-09-14 2017-01-04 齐鲁工业大学 一种制备高介电氧化钇薄膜的低温液相方法
JP2017061737A (ja) * 2015-09-25 2017-03-30 株式会社フジミインコーポレーテッド 溶射材料

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005314801A (ja) * 2004-03-31 2005-11-10 Toto Ltd エアロゾルを用いた被膜の製造方法、そのための粒子混合物、ならびに被膜および複合材
JP5071856B2 (ja) * 2007-03-12 2012-11-14 日本碍子株式会社 酸化イットリウム材料及び半導体製造装置用部材
KR101110371B1 (ko) 2010-04-26 2012-02-15 한국세라믹기술원 내플라즈마 결정질 세라믹 코팅막 및 그 제조방법
KR20120028458A (ko) * 2010-09-15 2012-03-23 문무 주식회사 이트륨 산화물 코팅 형광체
KR20130070092A (ko) * 2011-12-19 2013-06-27 창원대학교 산학협력단 산화 이트륨 분말의 제조방법 및 이에 의해 제조된 산화 이트륨 분말
KR101322783B1 (ko) 2012-05-08 2013-10-29 한국세라믹기술원 고밀도 플라즈마 에칭에 대한 저항성이 우수한 세라믹 보호 피막 및 그 코팅 방법
JP5396672B2 (ja) 2012-06-27 2014-01-22 日本イットリウム株式会社 溶射材料及びその製造方法
KR20140126824A (ko) 2013-04-22 2014-11-03 삼성디스플레이 주식회사 표시장치용 윈도우 및 이를 포함하는 표시 장치
US10138167B2 (en) * 2015-05-08 2018-11-27 Tokyo Electron Limited Thermal spray material, thermal spray coating and thermal spray coated article
CN108368598B (zh) * 2015-12-28 2021-02-02 日本钇股份有限公司 成膜用材料

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1938451A (zh) * 2004-03-31 2007-03-28 东陶机器株式会社 使用气溶胶制造被膜的方法、用于该方法的粒子混合物、以及被膜和复合材料
KR20100076669A (ko) * 2008-12-26 2010-07-06 아이원스 주식회사 세라믹 분말 및 그 제조방법
WO2016129457A1 (ja) * 2015-02-10 2016-08-18 日本イットリウム株式会社 成膜用粉末及び成膜用材料
JP2016211072A (ja) * 2015-05-08 2016-12-15 東京エレクトロン株式会社 溶射用材料、溶射皮膜および溶射皮膜付部材
JP2017061737A (ja) * 2015-09-25 2017-03-30 株式会社フジミインコーポレーテッド 溶射材料
CN106298455A (zh) * 2016-09-14 2017-01-04 齐鲁工业大学 一种制备高介电氧化钇薄膜的低温液相方法

Also Published As

Publication number Publication date
WO2018217062A1 (ko) 2018-11-29
JP6959363B2 (ja) 2021-11-02
JP2020525640A (ja) 2020-08-27
US20200095687A1 (en) 2020-03-26
US11668011B2 (en) 2023-06-06

Similar Documents

Publication Publication Date Title
CN110800082A (zh) 氟化氧化钇涂覆膜的形成方法及基于其的氟化氧化钇涂覆膜
KR20190082119A (ko) 코팅막의 형성 방법 및 이에 따른 코팅막
JP6639585B2 (ja) プラズマ処理装置用の部品の製造方法
KR102106533B1 (ko) 플로라이드화 이트륨 옥사이드 코팅막의 형성 방법 및 이에 따른 플로라이드화 이트륨 옥사이드 코팅막
CN106029948B (zh) 用于形成具有改善的等离子体耐受性的陶瓷涂层的方法和由此形成的陶瓷涂层
CN105428195B (zh) 等离子体处理装置用的部件和部件的制造方法
JP2016511796A5 (zh)
JP6849808B2 (ja) 透明フッ素系薄膜の形成方法およびこれによる透明フッ素系薄膜
Li et al. Suppression of crystallization during high velocity impact quenching of alumina droplets: Observations and characterization
KR100940812B1 (ko) 반도체 제조 장비용 열용사 코팅막의 제조방법
Bhuyan et al. Sensitivity of process parameters in atmospheric plasma spray coating
US20070190309A1 (en) Inorganic film/substrate composite material with high transparency and method of manufacturing the same
Zhao et al. Phase composition, structural, and plasma erosion properties of ceramic coating prepared by suspension plasma spraying
KR102062397B1 (ko) 플로라이드화 옥사이드 박막의 형성 방법 및 이에 따른 플로라이드화 옥사이드 박막
Lebedev et al. Optically transparent, dense α-Al2O3 thick films deposited on glass at room temperature
WO2019132550A1 (ko) 코팅막의 형성 방법 및 이에 따른 코팅막
CN111254401B (zh) 提高钛合金板材硬质耐磨纳米涂层粘附强度的方法
Vassallo et al. Deposition of boron–carbon multilayer coatings by RF plasma sputtering
KR20150015546A (ko) 복합 구조물
US11749507B2 (en) Semiconductor manufacturing apparatus member and semiconductor manufacturing apparatus
US20220351944A1 (en) Semiconductor manufacturing apparatus member and semiconductor manufacturing apparatus
US20220341018A1 (en) Semiconductor manufacturing apparatus member and semiconductor manufacturing apparatus
Goto Surface coating technology for biomaterials—morphology and nano-structure control
JP2022166857A (ja) 半導体製造装置用部材及び半導体製造装置
CN116063101A (zh) 通过hvof的高密度yf3涂层的制造方法和高密度yf3涂层

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20200214