CN110718501A - 间隙填充方法以及使用该方法制造半导体器件的方法 - Google Patents

间隙填充方法以及使用该方法制造半导体器件的方法 Download PDF

Info

Publication number
CN110718501A
CN110718501A CN201910014839.3A CN201910014839A CN110718501A CN 110718501 A CN110718501 A CN 110718501A CN 201910014839 A CN201910014839 A CN 201910014839A CN 110718501 A CN110718501 A CN 110718501A
Authority
CN
China
Prior art keywords
layer
oxide
opening
gap
recess
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201910014839.3A
Other languages
English (en)
Other versions
CN110718501B (zh
Inventor
朴寅洙
李起洪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of CN110718501A publication Critical patent/CN110718501A/zh
Application granted granted Critical
Publication of CN110718501B publication Critical patent/CN110718501B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66325Bipolar junction transistors [BJT] controlled by field-effect, e.g. insulated gate bipolar transistors [IGBT]
    • H01L29/66333Vertical insulated gate bipolar transistors
    • H01L29/66348Vertical insulated gate bipolar transistors with a recessed gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

间隙填充方法以及使用该方法制造半导体器件的方法。一种用于对高纵横比结构进行间隙填充的方法包括以下步骤:形成包括凹陷的间隙填充目标结构;在凹陷中形成具有第一开口的第一层;将第一层暴露于氧化工艺以形成用于封闭第一开口的入口的第一层的氧化物;通过选择性地去除第一层的氧化物来形成第二开口,该第二开口具有比第一开口的入口宽的入口;以及形成对第二开口进行间隙填充的第二层。

Description

间隙填充方法以及使用该方法制造半导体器件的方法
技术领域
本发明的示例性实施方式涉及用于制造半导体器件的方法,更具体地,涉及一种用于对高纵横比结构进行间隙填充的间隙填充方法以及使用该间隙填充方法制造半导体器件的方法。
背景技术
需要用于对三维结构或高纵横比结构进行间隙填充的间隙填充方法以用于制造诸如半导体器件的电子器件。例如,在制造垂直半导体器件时执行高纵横比结构的间隙填充。
发明内容
本发明的实施方式涉及一种用于在没有空洞的情况下对高纵横比结构进行间隙填充的间隙填充方法。
本发明的实施方式涉及一种在没有空洞的情况下对高纵横比的水平凹陷和垂直凹陷进行间隙填充的间隙填充方法。
本发明的实施方式涉及一种在没有空洞的情况下可形成水平字线的半导体器件的制造方法。
本发明的实施方式涉及一种在没有空洞的情况下可形成掩埋字线的半导体器件的制造方法。
本发明的实施方式涉及一种在没有空洞的情况下可形成接触插塞的半导体器件的制造方法。
根据本发明的实施方式,一种用于对高纵横比结构进行间隙填充的方法包括以下步骤:形成包括凹陷的间隙填充目标结构;在凹陷中形成具有第一开口的第一层;将第一层暴露于氧化工艺以形成用于封闭第一开口的入口的第一层的氧化物;通过选择性地去除第一层的氧化物来形成第二开口,该第二开口具有比第一开口的入口宽的入口;以及形成对第二开口进行间隙填充的第二层。
形成包括凹陷的间隙填充目标结构的步骤可包括:形成第一介电层、牺牲层和第二介电层依次层叠在基板上方的层叠结构;蚀刻该层叠结构以形成狭缝;以及通过该狭缝选择性地去除牺牲层以在第一介电层和第二介电层之间形成水平凹陷,其中,该水平凹陷具有平行于基板的表面的纵横比。
形成包括凹陷的间隙填充目标结构的步骤可包括:在基板上方形成模结构;以及蚀刻该模结构以形成垂直凹陷,其中,该垂直凹陷具有垂直于基板的表面的纵横比。
形成包括凹陷的间隙填充目标结构的步骤可包括:蚀刻基板以形成垂直凹陷,其中,该垂直凹陷具有垂直于基板的表面的纵横比。
根据本发明的另一实施方式,一种用于制造半导体器件的方法包括以下步骤:形成间隙填充目标结构,该间隙填充目标结构包括多个介电层以及限定在所述多个介电层之间的多个水平凹陷;在间隙填充目标结构上方形成第一层,该第一层具有分别位于多个水平凹陷中的多个第一开口;将第一层暴露于氧化工艺以形成用于封闭第一开口的入口的第一层的氧化物;选择性地去除第一层的氧化物以留下具有多个第二开口的未氧化第一层,所述第二开口的入口比水平凹陷中的第一开口的入口宽;以及形成对第二开口进行间隙填充的第二层。
根据本发明的另一实施方式,一种用于对高纵横比结构进行间隙填充的方法包括以下步骤:形成包括水平凹陷的间隙填充目标结构;利用包括空洞的第一层对水平凹陷进行间隙填充;将第一层暴露于氧化工艺以形成第一层的氧化物,所述第一层的氧化物在封闭水平凹陷的入口的同时去除空洞;选择性地去除第一层的氧化物以形成具有宽入口的开口;以及形成对所述开口进行间隙填充的第二层。
根据本发明的另一实施方式,一种用于对高纵横比结构进行间隙填充的方法包括以下步骤:形成包括垂直凹陷的间隙填充目标结构;利用包括空洞的第一层对垂直凹陷进行间隙填充;将第一层暴露于氧化工艺以形成第一层的氧化物,所述第一层的氧化物在封闭垂直凹陷的入口的同时去除空洞;选择性地去除第一层的氧化物以形成具有宽入口的开口;以及形成对所述开口进行间隙填充的第二层。
附图说明
图1是描述根据本发明的实施方式的用于对高纵横比结构进行间隙填充的间隙填充方法的流程图。
图2A至图2J是示出根据本发明的实施方式的示例的用于对高纵横比结构进行间隙填充的间隙填充工艺的横截面图。
图3是示出根据比较例的用于对钨层进行间隙填充的方法的横截面图。
图4A至图4E是示出根据本发明的实施方式的另一示例的用于对高纵横比结构进行间隙填充的间隙填充工艺的横截面图。
图5A示出垂直半导体器件。
图5B是沿着图5A所示的线A-A’截取的垂直半导体器件的横截面图。
图6A至图6J是示出根据本发明的实施方式的示例的垂直半导体器件的制造方法的横截面图。
图7是描述根据本发明的另一实施方式的用于对高纵横比结构进行间隙填充的间隙填充方法的流程图。
图8A至图8F是示出根据本发明的实施方式的示例的用于对高纵横比结构进行间隙填充的间隙填充工艺的横截面图。
图9A至图9E是示出根据本发明的实施方式的另一示例的用于对高纵横比结构进行间隙填充的间隙填充工艺的横截面图。
图10A至图10G是示出半导体器件的掩埋字线的形成方法的横截面图。
图11A至图11F是示出半导体器件的接触插塞的形成方法的横截面图。
图12是示出垂直NAND的字线触点的横截面图。
具体实施方式
下面将参照附图更详细地描述本发明的示例性实施方式。然而,本发明可按照不同的形式来具体实现,并且不应被解释为限于本文所阐述的实施方式。相反,提供这些实施方式以使得本公开将彻底和完整,并且将向本领域技术人员充分传达本发明的范围。贯穿本公开,相似的标号贯穿本发明的各个附图和实施方式表示相似的部件。
附图未必按比例,在一些情况下,为了清楚地示出实施方式的特征,比例可能被夸大。当第一层被称为在第二层“上”或基板“上”时,其不仅指第一层直接形成在第二层或基板上的情况,而且指第一层和第二层或基板之间存在第三层的情况。
在本发明的以下实施方式中,高纵横比结构可包括三维结构。高纵横比结构可包括垂直结构、水平结构或其组合。高纵横比结构可指接触孔、沟槽、凹陷或开口。高纵横比结构可具有至少5:1或更大的高宽比。
下面要描述的本发明的以下实施方式可用于对垂直NAND器件中的水平高纵横比结构(例如,水平字线)进行间隙填充。另外,本发明的以下实施方式可用于对垂直高纵横比结构(例如,动态随机存取存储器(DRAM)的掩埋字线)进行间隙填充。
图1是描述根据本发明的实施方式的用于对高纵横比结构进行间隙填充的间隙填充方法的流程图。图2A至图2J是示出根据本发明的实施方式的示例的用于对高纵横比结构进行间隙填充的间隙填充工艺的横截面图。图1至图2J示出对水平高纵横比结构进行间隙填充的方法。水平高纵横比结构可包括水平凹陷。
参照图1,高纵横比间隙填充方法可包括:步骤S101,形成水平凹陷;步骤S102,形成第一层;转换步骤S103,用于形成第一层的氧化物;步骤S104,去除第一层的氧化物;以及步骤S105,对第二层进行间隙填充。在步骤S106中可将形成第一层的步骤S102、转换步骤S103、去除第一层的氧化物的步骤S104重复多次,以便在没有空洞的情况下对高纵横比的水平凹陷进行间隙填充。
参照图2A,可在基板101上方形成层叠结构102。基板101可为适合于半导体处理的材料。基板101可包括半导体基板。基板101可为含硅材料。基板101可包括硅、单晶硅、多晶硅、非晶硅、硅锗、单晶硅锗、多晶硅锗、碳掺杂硅、其组合或其多层。基板101可包括诸如锗的其它半导体材料。基板101可包括III/V族半导体基板,例如化合物半导体基板(例如,GaAs)。基板101可包括绝缘体上硅(SOI)基板。
层叠结构102可包括第一介电层103A和第二介电层103B与牺牲层104的层叠物。牺牲层104可形成在第一介电层103A和第二介电层103B之间。第一介电层103A和第二介电层103B可包括相对于牺牲层104具有蚀刻选择性的材料。第一介电层103A和第二介电层103B可为相同的材料。层叠结构102可包括氧化硅、氮化硅或其组合。第一介电层103A和第二介电层103B可为氧化硅,牺牲层104可为氮化硅。
参照图2B,可在层叠结构102中形成第一垂直凹陷105。从俯视图的角度,第一垂直凹陷105可具有孔的形式。层叠结构102的一部分可被蚀刻以形成第一垂直凹陷105。第一垂直凹陷105可暴露基板101的表面的一部分。
参照图2C,可形成垂直结构106。垂直结构106可从基板101的表面垂直地延伸。垂直结构106可填充第一垂直凹陷105。垂直结构106可包括介电材料、半导体材料、金属材料或其组合。垂直结构106可包括氮化硅、氧化硅、多晶硅或其组合。垂直结构106可被称为“柱”。垂直结构106可以是晶体管的沟道。
参照图2D,可形成第二垂直凹陷108。第二垂直凹陷108可通过使用硬掩模层107从而蚀刻层叠结构102的另一部分来形成。第二垂直凹陷108可从基板101的表面垂直地延伸。从俯视图的角度,第二垂直凹陷108可具有线形。第二垂直凹陷108可被称为“狭缝或沟槽”。
形成有第二垂直凹陷108的层叠结构102可被称为“层叠图案102A”。
参照图2E,可形成水平凹陷109。可通过去除牺牲层104来形成水平凹陷109。因此,水平凹陷109可形成在第一介电层103A和第二介电层103B之间。水平凹陷109可具有包围垂直结构106的侧壁的形状。水平凹陷109的一端可暴露垂直结构106。水平凹陷109可在与基板101的表面平行的方向上延伸。水平凹陷109可具有高度H与深度W之比为至少1:5或更大的高纵横比。水平凹陷109可具有与基板101的表面平行的高纵横比。
第一介电层103A、第二介电层103B、垂直结构106、硬掩模层107、第二垂直凹陷108和水平凹陷109可被统称为“间隙填充目标结构100M”。因此,间隙填充目标结构100M可包括水平凹陷109和第二垂直凹陷108。
参照图2F,可在水平凹陷109中形成第一层110。第一层110可部分地对水平凹陷109进行间隙填充。例如,第一层110可沿着水平凹陷109的轮廓适形地形成。第一层110可按照均匀的厚度形成在水平凹陷109的表面上。第一层110可形成在基板101和硬掩模层107的表面上。
由于水平凹陷109由第一层110部分地间隙填充,所以第一层110中可包括第一开口L1。与水平凹陷109相比,第一开口L1可具有更低的高度和更浅的深度。第一开口L1可被称为窄间隙。第一开口L1可以是水平开口。第一开口L1的入口可具有第一高度H1。
第一层110可包括介电材料、半导体材料、金属材料或其组合。第一层110可包括硅、金属、金属氮化物、金属硅化物或其组合。第一层110可通过化学气相沉积(CVD)工艺或原子层沉积(ALD)工艺形成。第一层110可包括含钨材料。第一层110可包括钨层。可通过使用WF6作为钨源材料并使用H2、SiH4和B2H6当中的至少一种作为还原气体来沉积钨层。在本发明的另一实施方式中,可通过使用无氟钨源(例如,W(CO)6)来沉积钨层。
参照图2G,可执行转换工艺111。转换工艺111可以是用于对第一层110的表面进行改性的工艺。转换工艺111可包括氧化工艺。转换工艺111可包括选择性氧化工艺。转换工艺111可包括热氧化或等离子体氧化。
转换工艺111可选择性地氧化第一层110的表面。第一层110的一部分可通过转换工艺111氧化。可通过转换工艺111形成氧化物112。氧化物112可以是第一层110的氧化物。第一层110可未完全氧化。氧化物112可以是第一层110的部分氧化物。第一层110的氧化量可根据水平凹陷109的深度而不同。例如,在水平凹陷109的入口处或入口附近第一层110的一部分可被氧化,并且在水平凹陷109的中间和最深的部分中第一层110的氧化可被抑制。根据本发明的另一实施方式,可在水平凹陷109的所有部分中执行第一层110的表面氧化。
氧化物112可填充水平凹陷109的入口以及入口的内侧部分。当执行转换工艺111时,第一层110可从第一开口L1的入口氧化。氧化物112可填充第一层110的第一开口L1的入口,从而减小第一开口L1的体积。氧化物112可非适形地形成。氧化物112可具有封闭第一开口L1的入口的厚度。氧化物112可在水平凹陷109的入口处具有第一厚度T1,在水平凹陷109的内部具有第二厚度T2。第一厚度T1可大于第二厚度T2。第一厚度T1可以是封闭第一开口L1的入口的厚度。即使形成氧化物112,第一开口L1的一部分仍可具有保留未被间隙填充的部分。氧化物112可使第一开口L1的纵横比变形。氧化物112可填充第一开口L1的一部分。
可延长转换工艺111的处理时间以利用氧化物112封闭第一开口L1的入口。因此,通过去除后续氧化物112而获得的第二开口(参见图2H中的标号“L2”)的入口可被进一步加宽以具有有利于间隙填充工艺的轮廓。
当第一层110包括钨时,氧化物112可以是氧化钨(WOx)。在转换工艺111之后第一层110的保留未氧化的部分可由“110R”表示。第一层110R可被称为未氧化第一层110R。第一层110R可仅保留在水平凹陷109的内部。例如,在硬掩模层107和基板101的表面上第一层110可全部被氧化。
参照图2H,可选择性地去除氧化物112。随着氧化物112被去除,第一开口L1可再次暴露。本文中,再次暴露的第一开口L的轮廓可改变,其被称为“第二开口L2”。第二开口L2可被限定在水平凹陷109中。第二开口L2可被限定在第一层110R中。第一层110R可保留在水平凹陷109的内部中,并且可包括第二开口L2。第二开口L2可具有水平V形或水平U形。第二开口L2的纵横比可低于第一开口L1的纵横比。例如,第二开口L2的入口可具有第二高度H2,并且第二高度H2可大于第一开口L1的入口,即,第一高度H1。另外,第二开口L2的内部可具有第三高度H3,并且第三高度H3可小于第二高度H2。第三高度H3可与第一高度H1相同。因此,第二开口L2可具有从入口到内部高度减小的形状。第二开口L2的第二高度H2可由第一层110R的锥形部分TP提供。第二开口L2的第三高度H3可由第一层110R的非锥形部分NTP提供。
通过依次执行形成氧化物112的步骤和去除氧化物112的步骤,可将第一开口L1加宽为第二开口L2。与第一开口L1相比,具有更高入口的第二开口L2可具有减小的纵横比。
氧化物112可比第一层110R更快被蚀刻。氧化物112相对于第一层110R的蚀刻选择性可为大约20:1。可执行干法蚀刻工艺或湿法蚀刻工艺以去除氧化物112。例如,可通过使用NF3气体来去除氧化物112,并且可进一步添加NH3气体或惰性气体以控制相对于第一层110R的蚀刻选择性。氧化物112相对于第一介电层103A和第二介电层103B的蚀刻选择性可为至少大约20:1或更高。当去除氧化物112时,可保护第一层110R、硬掩模层107、第一介电层103A和第二介电层103B以及基板101免受侵袭。
如上所述,可通过去除氧化物112获得有利于第二层(参见图2I中的“113”)的后续间隙填充工艺的轮廓。可延长转换工艺111的处理时间以使得氧化物112具有封闭第一开口L1的入口的厚度,以便获得有利于间隙填充工艺的锥形轮廓。作为比较例,如果氧化物112的厚度太薄以至于氧化物112没有封闭第一开口L1的开口,则第二开口L2的入口可能形成得较窄。在这种情况下,在形成第二层(参见图2I中的“113”)的后续工艺期间可能生成空洞。
参照图2I,可形成第二层113。第二层113可间隙填充水平凹陷109。第二层113可间隙填充第二开口L2。第二层113可完全填充第二开口L2。第二层113可不填充第二垂直凹陷108。第二层113可包括介电材料、半导体材料、金属材料或其组合。第二层113可包括硅、金属、金属氮化物、金属硅化物或其组合。第二层113可通过化学气相沉积(CVD)工艺或原子层沉积(ALD)工艺形成。第二层113可包括含钨材料。第二层113可包括钨层。可通过使用WF6作为钨源材料并使用H2、SiH4和B2H6当中的至少一种作为还原气体来沉积钨层。根据本发明的另一实施方式,可通过使用无氟钨源(例如,W(CO)6)来沉积钨层。第二层113和第一层110R可为相同的材料。
通过形成第二层113,水平凹陷109可由第一层110R和第二层113完全间隙填充。第一层110R和第二层113可在没有空洞的情况下间隙填充水平凹陷109。
第一层110R和第二层113的层叠物可被称为间隙填充层120。当第一层110R和第二层113包括钨层时,水平凹陷109可由钨层填充。
在本发明的本实施方式中,可通过将钨层分成第一层110R和第二层113来执行钨层的沉积以便对水平凹陷109进行间隙填充。另外,为了在沉积第二层113之前形成锥形轮廓,可执行用于形成第一层110R的氧化物112的工艺和用于去除氧化物112的工艺。
参照图2J,可选择性地分离间隙填充层120。可去除间隙填充层120以暴露硬掩模层107的上表面和间隙填充目标结构110M的一侧。可通过间隙填充层120的选择性去除工艺在水平凹陷109中形成间隙填充层图案120G。间隙填充层图案120G可包括第一层图案110G和第二层图案113G。第一层图案110G可被称为衬里层,第二层图案113G可被称为填充物。第一层图案110G可对应于第一层(图2I中的“110R”),第二层图案113G可通过选择性地去除第二层113来形成。第一层图案110G和第二层图案113G可以是含钨层。为了将第二层图案113G留在第二开口L2中,可执行第二层113的回蚀工艺。第一层图案110G和第二层图案113G可形成在第二开口L2的内部中。第一层图案110G和第二层图案113G可不保留在第二垂直凹陷108中。
间隙填充层图案120G可为导电材料。间隙填充层图案120G可以是含钨层。间隙填充层图案120G可以是钨层。可在没有空洞的情况下在水平凹陷109中形成间隙填充层图案120G,以使得在没有由烟雾(fume)导致的任何缺陷的情况下在水平凹陷109中形成间隙填充层图案120G。当第一层图案110G和第二层图案113G包括钨层时,水平凹陷109可通过无空洞钨(W)间隙填充工艺填充。
图3是示出根据比较例的用于间隙填充钨层的方法的横截面图。
参照图3,可通过钨层130W的间隙填充工艺利用钨层填充水平凹陷109。比较例的钨层130W的间隙填充可使用WF6/H2,并且可以不执行转换工艺(参见图2G中的“111”)。
当通过使用WF6/H2沉积钨层130W时,可能在钨层130W中形成空洞130V。作为WF6/H2的反应副产物可能生成氟化氢(HF)气体,并且氟化氢(HF)气体可被称为钨烟雾(W烟雾)。氟化氢(HF)气体可被集中捕获在空洞130V中并且可能通过后续热工艺等导致缺陷。
在本发明的此实施方式中,由于在没有空洞的情况下利用钨层间隙填充水平凹陷109,所以可抑制由氟化氢(HF)气体导致的缺陷的发生。
图4A至图4E是示出根据本发明的实施方式的另一示例的用于对高纵横比结构进行间隙填充的间隙填充工艺的横截面图。图4A至4E示出对水平高纵横比结构进行间隙填充的间隙填充方法。水平高纵横比结构可包括水平凹陷。
图4A至图4E所示的间隙填充方法可与图2A至图2J所示的间隙填充方法部分相似。以下,关于再次出现的相同构成元件的描述将被省略。
首先,可通过图2A至图2E所示的方法形成水平凹陷109。
随后,如图4A所示,可在水平凹陷109中形成第一层110’。第一层110’可为与图2F的第一层110相同的材料。第一层110’可包括介电材料、半导体材料、金属材料或其组合。第一层110’可包括硅、金属、金属氮化物、金属硅化物或其组合。第一层110’可通过化学气相沉积(CVD)工艺或原子层沉积(ALD)工艺形成。第一层110’可包括含钨材料。第一层110’可包括钨层。可通过使用WF6作为钨源材料并使用H2、SiH4和B2H6当中的至少一种作为还原气体来沉积钨层。根据本发明的另一实施方式,可通过使用无氟钨源(例如,W(CO)6)来沉积钨层。
不同于图2F的第一层110,第一层110’可完全间隙填充水平凹陷109。第一层110’中可能包括空洞110V和缝隙110S。可在第一层110’被间隙填充时由高纵横比的水平凹陷109形成空洞110V和缝隙110S。
以下,在本发明的此实施方式中,可执行转换工艺(图4B中的111’)以去除空洞110V和缝隙110S。
参照图4B,可将第一层110’暴露于转换工艺111’。转换工艺111’可以是用于对第一层110’的表面进行改性的工艺。转换工艺111’可包括氧化工艺。转换工艺111’可包括选择性氧化工艺。转换工艺111’可包括热氧化或等离子体氧化。
转换工艺111’可选择性地氧化第一层110’的表面。可通过转换工艺111’氧化第一层110’的一部分。可通过转换工艺111’形成氧化物112’。氧化物112’可以是第一层110’的氧化物。第一层110’可不完全氧化。第一层110’的氧化量可根据水平凹陷109的深度而不同。例如,在水平凹陷109的入口处或入口附近第一层110’的一部分可被氧化,并且在水平凹陷109’的中间部分和最深部分中第一层110’的氧化可被抑制。根据本发明的另一实施方式,可在水平凹陷109的所有部分处执行第一层110’的表面氧化。
氧化物112’可填充水平凹陷109的入口以及入口的内侧部分。氧化物112’可形成至去除第一层110’的空洞110V和缝隙110S的深度。转换工艺111’可将第一层110’的空洞110V和缝隙110S氧化。氧化物112’可非适形地形成。与水平凹陷109的内部中相比,在水平凹陷109的入口处氧化物112’可更厚。可延长转换步骤111’的处理时间以利用氧化物112’封闭水平凹陷109的入口。因此,通过去除后续氧化物112’而获得的开口(参见图4C中的L3)的入口可被加宽以具有有利于间隙填充工艺的锥形轮廓。
当第一层110’包括钨时,氧化物112’可以是氧化钨(WOx)。第一层110’的在转换工艺111’之后保留未氧化的部分可由110’R表示。第一层110’R可被称为未氧化第一层。第一层110’R可仅保留在水平凹陷109的内部中。例如,硬掩模层107和基板101的上表面上的第一层110’可全部被氧化。氧化物112’也可形成在硬掩模层107和基板101的上表面上。
如上所述,氧化物112’可去除第一层110’的空洞110V和缝隙110S。
参照图4C,可选择性地去除氧化物112’。随着氧化物112’被去除,可在水平凹陷109中限定开口L3。可在第一层110’R中限定开口L3。开口L3可具有“水平V形”。开口L3的最内侧可包括垂直平面。开口L3的入口可高于开口L3的内部。
如上所述,通过形成氧化物112’的步骤和去除氧化物112’的步骤,可形成具有宽入口的开口L3。通过去除氧化物112’,可获得有利于第二层(参见图4D的113’)的后续间隙填充工艺的轮廓。
氧化物112’可比第一层110’R更快被蚀刻。氧化物112’相对于第一层110’R的蚀刻选择比可为大约20:1。可执行干法蚀刻工艺或湿法蚀刻工艺以去除氧化物112’。例如,可通过使用NF3气体来去除氧化物112’,并且可进一步添加NH3气体或惰性气体以控制相对于第一层110’R的蚀刻选择性。氧化物112’相对于第一介电层103A和第二介电层103B的蚀刻选择性可为大约20:1或更高。
第一层110’R可包括开口L3和锥形部分TP,并且开口L3可由锥形部分TP提供。开口L3的入口可通过第一层110’R的锥形部分TP加宽。
参照图4D,可形成第二层113’。第二层113’可间隙填充水平凹陷109。第二层113’可间隙填充开口L3。第二层113’可完全填充开口L3。第二层113’可包括介电材料、半导体材料、金属材料或其组合。第二层113’可包括硅、金属、金属氮化物、金属硅化物或其组合。第二层113’可通过化学气相沉积(CVD)工艺或原子层沉积(ALD)工艺来形成。第二层113’可包括含钨材料。第二层113’可包括钨层。可通过使用WF6作为钨源材料并使用H2、SiH4和B2H6当中的至少一种作为还原气体来沉积钨层。根据本发明的另一实施方式,可通过使用诸如W(CO)6的无氟钨源来沉积钨层。第二层113’和第一层110’R可为相同的材料。
通过如上所述形成第二层113’,水平凹陷109可由第一层110’R和第二层113’完全间隙填充。第一层110’R和第二层113’可在没有空洞的情况下间隙填充水平凹陷109。
第一层110’R和第二层113’的层叠物可被称为间隙填充层120’。当第一层110’R和第二层113’包括钨层时,水平凹陷109可由钨层填充。
参照图4E,可选择性地隔离间隙填充层120’。可去除间隙填充层120’以暴露硬掩模层107的上表面和间隙填充目标结构110M的一侧。可通过间隙填充层120’的选择性去除工艺在水平凹陷109中形成间隙填充层图案120’G。间隙填充层图案120’G可包括第一层图案110’G和第二层图案113’G。第一层图案110’G可对应于第一层(参见图4D的110’R),并且第二层图案113’G可通过选择性地去除第二层113’来形成。为了形成第二层图案113’G,可执行第二层113’的回蚀工艺。第一层图案110’G和第二层图案113’G可形成在开口L3的内部。第一层图案110’G和第二层图案113’G可不保留在第二垂直凹陷108中。
间隙填充层图案120’G可为导电材料。间隙填充层图案120’G可以是含钨层。间隙填充层图案120’G可以是钨层。可在没有空洞的情况下在水平凹陷109中形成间隙填充层图案120’G,以使得可在没有由烟雾导致的空洞的情况下在水平凹陷109中形成间隙填充层图案120’G。
根据上述本发明的实施方式的间隙填充层图案120G和120’G可以是存储器装置的一部分。间隙填充层图案120G和120’G可包括水平字线、水平控制栅极或水平选择栅极。水平字线、水平控制栅极和水平选择栅极可以是非易失性存储器的一部分。水平字线、水平控制栅极和水平选择栅极可以是垂直NAND的一部分。
图5A示出垂直半导体器件。图5B是沿着图5A所示的线A-A’截取的垂直半导体器件的横截面图。
参照图5A和图5B,垂直半导体器件100V可包括垂直NAND。垂直半导体器件100V可包括三维(3D)NAND。垂直半导体器件100V可包括下部结构11和单元层叠物MC。单元层叠物MC可形成在下部结构11上方。单元层叠物MC可通过重复地交替层叠介电层12和栅极结构30来形成。垂直半导体器件100V还可包括穿透单元层叠物MC的多个垂直沟道结构CP。
介电层12之间的空间可被定义为水平凹陷22,并且水平凹陷22可由栅极结构30填充。栅极结构30可被称为“水平字线”或“水平栅极”。
栅极结构30可包括包围垂直沟道结构CP的屏障24以及栅极29G。屏障24可被设置在垂直沟道结构CP和栅极29G之间。栅极29G可包括第一栅极25L和第二栅极28G。第一栅极25L可被设置在屏障24和第二栅极28G之间。
水平凹陷22和栅极29G可通过图2A至图2J中描述的方法来形成。另外,水平凹陷22和栅极29G可通过图4A至图4E中描述的方法来形成。水平凹陷22可对应于图2J和图4E的水平凹陷109。栅极29G可对应于图2J和图4E的间隙填充层图案120G和120’G。第一栅极25L可对应于图2J和图4E的第一层图案110G和110’G。第二栅极28G可对应于图2J和图4E的第二层图案113G和113’G。
垂直沟道结构CP可包括与栅极结构30相邻的电荷储存层15、与电荷储存层15接触的隧道介电层16以及与隧道介电层16接触的沟道层17。沟道层17的内部空间可由芯介电层18填充。导电焊盘19可形成在芯介电层18上方。垂直沟道结构CP可形成在沟道孔14中。沟道孔14可具有穿透单元层叠物MC的形状。隧道介电层16可具有包围沟道层17的外壁的形状。电荷储存层15可具有包围隧道介电层16的外壁的形状。
垂直沟道结构CP还可包括阻挡层23。阻挡层23可部分地形成在电荷储存层15的外壁上。阻挡层23可被设置在电荷储存层15和屏障24之间。
邻近单元层叠物MC可通过狭缝21彼此隔离。狭缝21可具有沟槽的形状。邻近栅极结构30可基于块为单位通过狭缝21隔离。一个块可包括一个栅极结构30和多个垂直沟道结构CP。一个块中的多个垂直沟道结构CP可共享一个栅极结构30。从俯视图的角度,多个垂直沟道结构CP可规则地排列。在本实施方式中,为了说明方便,示出了一个块中存在三个垂直沟道结构CP,但是可不同地设定垂直沟道结构CP的阵列。
单元层叠物MC和垂直沟道结构CP的上表面可利用覆盖层20覆盖。
图6A至图6J是示出根据本发明的实施方式的示例的垂直半导体器件100V的制造方法的横截面图。
参照图6A,可在下部结构11上方形成层叠结构M。层叠结构M可包括彼此交替地层叠的介电层12和牺牲层13。介电层12和牺牲层13中的每一个可包括多个层。尽管为了描述方便,在此实施方式中四个介电层12和三个牺牲层13交替地层叠,可不同地设定介电层12和牺牲层13的层叠数量。介电层12和牺牲层13可在与下部结构11的表面垂直的方向上重复地层叠。介电层12和牺牲层13可通过化学气相沉积(CVD)工艺或原子层沉积(ALD)工艺来形成。形成在顶部的介电层12可受到后续工艺侵袭并损坏。因此,顶部介电层12可形成为比下方的其它介电层12更厚。各个牺牲层13可具有相同的厚度。
介电层12和牺牲层13可包括相对于相同的蚀刻溶液具有不同蚀刻选择性的材料。牺牲层13可由不同于介电层12的材料形成。牺牲层13可由相对于介电层12具有蚀刻选择性的材料形成。牺牲层13可为可通过湿法蚀刻工艺快速地去除的材料。介电层12可为氧化硅或氮化硅,并且牺牲层13可为相对于介电层12具有蚀刻选择性的材料。例如,牺牲层13可选自氧化硅、氮化硅、碳化硅、硅或硅锗。在本发明的此实施方式中,介电层12可为氧化硅,并且牺牲层13可为氮化硅。
下部结构11可包括半导体基板。下部结构11可包括通过杂质注入形成在半导体基板中的源极区域。下部结构11可包括通过在半导体基板上方形成掺杂多晶硅层,然后对掺杂多晶硅层进行构图而形成的源极区域。下部结构11可包括形成有管沟槽的管栅。下部结构11可包括半导体基板以及在半导体基板上方的蚀刻停止层。
参照图6B,可形成沟道孔14。可通过蚀刻层叠结构M来形成沟道孔14。例如,可通过在介电层12和牺牲层13上依次执行各向异性蚀刻工艺来形成沟道孔14。可使用蚀刻掩模层(未示出)来形成沟道孔14。可在沟道孔14的底表面上暴露下部结构11的表面。沟道孔14可形成在与下部结构11的表面垂直的方向上。沟道孔14可被称为“垂直凹陷”。
尽管未示出,从平面图的角度可形成多个沟道孔14,并且其可具有孔阵列结构。当形成沟道孔14时,下部结构11的表面可被过蚀刻。
参照图6C,可在沟道孔14中形成垂直沟道结构CP。垂直沟道结构CP可包括电荷储存层15、隧道介电层16和沟道层17。电荷储存层15可包括氮化硅。隧道介电层16可包括氧化硅。沟道层17可包括半导体材料。例如,沟道层17可包括多晶硅半导体材料、非晶硅半导体材料和单晶硅半导体材料当中的一种。沟道层17可包括硅(Si)、锗(Ge)、硅锗(SiGe)、III-V族化合物和II-VI化合物当中的一种。沟道层17可包括多晶硅。沟道层17、隧道介电层16和电荷储存层15可按照间隔物形状形成在沟道孔14的侧壁上。沟道层17、隧道介电层16和电荷储存层15可具有开放形状,其可以是顶部开放形状或底部开放形状。沟道层17可具有带有内部空间的管形状。隧道介电层16可形成在沟道层17的外壁上,并且电荷储存层15可形成在隧道介电层16的外壁上。根据本发明的另一实施方式,沟道层17可具有顶部开放形状或底部开放形状。沟道层17的内部空间可由芯介电层18完全填充。芯介电层18可包括氧化硅或氮化硅。垂直沟道结构CP还可包括导电焊盘19。导电焊盘19可形成在芯介电层18上方。沟道层17的内部空间可由芯介电层18和导电焊盘19填充。导电焊盘19可包括掺杂有杂质的多晶硅。导电焊盘19可电连接到沟道层17。
垂直沟道结构CP可被称为“柱结构”。
根据本发明的另一实施方式,沟道层17可被嵌入在沟道孔14的内部以填充沟道孔14的中心区域。本文中,芯介电层18可被省略,并且可通过在沟道层17的顶部掺杂杂质来形成导电焊盘19。
参照图6D,可形成多个狭缝21。狭缝21可以是垂直凹陷。狭缝21可被称为沟槽。垂直沟道结构CP之间的层叠结构M可被蚀刻以形成狭缝21。例如,垂直沟道结构CP之间的介电层12和牺牲层13可被选择性地蚀刻。狭缝21的形状和数量可不同地改变。狭缝21可具有隔着垂直沟道结构CP彼此平行延伸的线形。下部结构11的上表面以及介电层12和牺牲层13的侧壁可通过狭缝21暴露。狭缝21可被设置在多个垂直沟道结构CP之间。在形成狭缝21之前,可形成覆盖层20。覆盖层20可在形成狭缝21期间保护垂直沟道结构CP。覆盖层20可具有通过掩模层(未示出)构图的结构。覆盖层20可为相对于介电层12和牺牲层13具有蚀刻选择性的材料。覆盖层20可包括氧化硅或氮化硅。
参照图6E,可形成多个水平凹陷22。为了形成水平凹陷22,通过狭缝21暴露的牺牲层13可被去除。各个水平凹陷22可部分地暴露垂直沟道结构CP的侧壁。为了形成水平凹陷22,可通过湿法蚀刻工艺去除牺牲层13。例如,当牺牲层13包括氮化硅时,可通过湿法蚀刻工艺使用磷酸(H3PO4)溶液去除牺牲层13。可通过去除牺牲层13来在介电层12之间形成水平凹陷22。电荷储存层15的侧壁可通过水平凹陷22部分地暴露。水平凹陷22可对应于图2E的水平凹陷109。水平凹陷22可具有平行于下部结构11的表面的高纵横比。
包括水平凹陷22的结构可被统称为“间隙填充目标结构”。
参照图6F,可形成阻挡层23。阻挡层23可形成在电荷储存层15的暴露的侧壁上。可通过使电荷储存层15的暴露的侧壁氧化来形成阻挡层23。阻挡层23可包括氧化硅。根据本发明的另一实施方式,可沿着水平凹陷22的轮廓适形地形成附加阻挡层(未示出),并且该附加阻挡层可包括高介电常数材料。附加阻挡层可包括氧化铝或氧化铪。
随后,可形成屏障材料24A。可沿着水平凹陷22的轮廓适形地形成屏障材料24A。屏障材料24A可做水平凹陷22的表面的衬里(line)。屏障材料24A可包括金属氮化物。例如,屏障材料24A可包括氮化钛(TiN)。形成有屏障材料24A的水平凹陷22可被称为带衬里水平凹陷。
在形成屏障材料24A之后,可执行导电材料的间隙填充工艺。
可在屏障材料24A上方形成第一导电材料25A。第一导电材料25A可适形地形成。第一导电材料25A可包括低电阻材料。第一导电材料25A可包括电阻低于屏障材料24A的材料。第一导电材料25A可以是基于金属的材料。第一导电材料25A可包括金属、金属硅化物、金属氮化物或其组合。例如,金属可包括镍、钴、铂、钛、钽或钨。金属硅化物可包括硅化镍、硅化钴、硅化铂、硅化钛、硅化钽或硅化钨。第一导电材料25A可对应于图2F的第一层110。在本发明的此实施方式中,第一导电材料25A可包括钨层。可通过使用WF6作为钨源材料并使用H2、SiH4和B2H6当中的至少一种作为还原气体来沉积钨层。根据本发明的另一实施方式,可通过使用诸如W(CO)6的无氟钨源来沉积钨层。
第一导电材料25A可部分地填充各个水平凹陷22。例如,第一导电材料25A可沿着水平凹陷22的轮廓适形地形成。第一导电材料25A可按照均匀的厚度形成在水平凹陷22的表面上。第一导电材料25A可形成在覆盖层20和下部结构11的表面上。第一导电材料25A可适形地覆盖狭缝21的侧壁。
由于水平凹陷22由第一导电材料25A部分地间隙填充,所以第一导电材料25A中可包括第一开口L11。与水平凹陷22相比,第一开口L11可具有更低的高度和更浅的深度。第一开口L11可被称为窄间隙。第一开口L11可以是水平开口。
参照图6G,可执行转换工艺26。转换工艺26可对应于图2G的转换工艺111。转换工艺26可包括氧化工艺。转换工艺26可包括选择性氧化工艺。转换工艺26可包括热氧化或等离子体氧化。
转换工艺26可选择性地氧化第一导电材料25A的表面。第一导电材料25A的一部分可通过转换工艺26氧化。作为转换工艺26的结果,可形成氧化物27。氧化物27可以是第一导电材料25A的氧化物。第一导电材料25A可不被完全氧化。第一导电材料25A的氧化量可根据水平凹陷22的深度而不同。例如,在各个水平凹陷22的入口处和入口附近第一导电材料25A的一部分可被氧化,并且在水平凹陷22的中间部分和最深部分中第一导电材料25A的氧化可被抑制。根据本发明的另一实施方式,可在水平凹陷22的所有部分中执行第一导电材料25A的表面氧化。
氧化物27可填充水平凹陷22的入口以及水平凹陷22的入口的内侧部分。氧化物27可部分地填充第一导电材料25A的第一开口L11。水平凹陷22的入口处的氧化物27的厚度可厚于水平凹陷22内部中的氧化物27的厚度。氧化物27可填充水平凹陷22的入口。氧化物27可使第一开口L11的纵横比变形。
当第一导电材料25A包括钨时,氧化物27可以是氧化钨(WOx)。第一导电材料25A在转换工艺26之后保留未氧化的部分可由“25R”表示。第一导电材料25R可被称为未氧化第一导电材料。第一导电材料25R可仅保留在水平凹陷22的内部。例如,在覆盖层20和下部结构11的上表面上第一导电材料25A可被氧化。
参照图6H,可选择性地去除氧化物27。随着氧化物27被去除,可在水平凹陷22中限定第二开口L12。可在第一导电材料25R中限定第二开口L12。第二开口L12可以是水平开口。第二开口L12可为“水平V形”。与第一开口L11相比,第二开口L12可具有减小的纵横比。第二开口L12的入口可大于第一开口L11的入口。第二开口L12的入口可具有锥形轮廓,并且第二开口L12的内部可具有非锥形轮廓。第二开口L12的锥形轮廓和非锥形轮廓可由第一层25R提供。
如上所述,可通过氧化物27形成步骤和氧化物27去除步骤来将第一开口L11加宽为第二开口L12。与第一开口L11相比,具有更高入口的第二开口L12可具有减小的纵横比。
氧化物27可比第一导电材料25R更快地蚀刻。氧化物27相对于第一导电材料25R的蚀刻选择性可为大约20:1。可通过执行干法蚀刻工艺或湿法蚀刻工艺来去除氧化物27。例如,可通过使用NF3气体来去除氧化物27,并且可进一步添加NH3气体或惰性气体以控制相对于第一导电材料25R的蚀刻选择性。氧化物27相对于介电层12的蚀刻选择性可为大约20:1或更高。
参照图6I,可形成第二导电材料28。第二导电材料28可间隙填充水平凹陷22。第二导电材料28可间隙填充第二开口L12。第二导电材料28可完全填充第二开口L12。由于第二开口L12的锥形轮廓,第二开口L12可在没有空洞的情况下由第二导电材料28填充。第二导电材料28可包括含钨材料。第二导电材料28可包括钨层。可通过使用WF6作为钨源材料并使用H2、SiH4和B2H6当中的至少一种作为还原气体来沉积钨层。根据本发明的另一实施方式,可通过使用诸如W(CO)6的无氟钨源来沉积钨层。第二导电材料28和第一导电材料25R可为相同的材料。
如上所述,通过形成第二导电材料28,水平凹陷22可由第一导电材料25R和第二导电材料28完全间隙填充。第一导电材料25R和第二导电材料28可在没有空洞的情况下间隙填充水平凹陷22。
第一导电材料25R和第二导电材料28的层叠物可被称为栅极层29。当第一导电材料25R和第二导电材料28包括钨层时,水平凹陷22可由钨层填充。
狭缝21可由第二导电材料28部分地填充。例如,第二导电材料28可沿着狭缝21的轮廓适形地形成。
参照图6J,可选择性地隔离栅极层29和屏障材料24A。可去除栅极层29和屏障材料24A以暴露覆盖层20的上表面和狭缝21的侧壁。可通过栅极层29和屏障材料24A的选择性去除工艺来在水平凹陷22中形成栅极结构30。栅极结构30可包括屏障24和栅极29G。栅极29G可包括第一栅极25L和第二栅极28G。屏障24可通过选择性地去除屏障材料24A来形成。第一栅极25L可对应于第一导电材料25R,并且第二栅极28G可通过选择性地去除第二导电材料28来形成。可执行第二导电材料28和屏障材料24A的回蚀工艺以形成第二栅极28G和屏障24。因此,可在第二开口L12内部形成第一栅极25L、第二栅极28G和屏障24。屏障24可做水平凹陷22的表面的衬里。第一栅极25L可做屏障24的表面的衬里。可在没有空洞的情况下在水平凹陷22中形成栅极29G,以使得可在没有缺陷的情况下通过烟雾在水平凹陷22中形成栅极29G。当屏障24包括氮化钛并且栅极29G包括钨时,栅极结构30可为TiN/W层叠物。
栅极结构30可具有包围垂直沟道结构CP的形状。栅极结构30可被称为水平栅极或水平字线。
根据本发明的另一实施方式,栅极结构30可通过参照图4A至图4E描述的方法来形成。
作为比较例,水平凹陷22可由钨层完全间隙填充。当沉积钨时,可使用六氟化物(WF6)气体/氢气(H2)。本文中,在沉积钨期间生成的副产物当中,氟化氢(HF)易于电离。电离的氟化氢(HF)可能损坏垂直沟道结构CP的隧道介电层16和电荷储存层15。对垂直沟道结构CP的损坏可能导致单元特性迅速劣化。另外,当在钨层间隙填充工艺中形成空洞时,称为钨烟雾的氟化氢(HF)被集中捕获在空洞中。通过后续热工艺捕获的氟化氢可能损坏垂直沟道结构CP。
作为另一比较例,可按照所述次序执行钨层沉积、钨层的部分蚀刻和钨层沉积以利用钨层间隙填充水平凹陷22。钨层的部分蚀刻可以是干法蚀刻工艺。然而,利用干法蚀刻工艺,难以均匀地获得锥形轮廓。另外,在干法蚀刻工艺期间可能损失诸如介电层12的外围结构。
根据本发明的此实施方式,由于形成在用于栅极29G的钨层间隙填充工艺期间有利于间隙填充工艺的V形轮廓(或锥形轮廓)的第二开口L12,所以在钨层间隙填充工艺期间可最小化或抑制空洞。这样,可防止可由钨烟雾导致的单元特性的劣化。
图7是描述根据本发明的另一实施方式的用于对高纵横比结构进行间隙填充的间隙填充方法的流程图。图8A至图8F是示出根据本发明的实施方式的示例的用于对高纵横比结构进行间隙填充的间隙填充工艺的横截面图。图7至图8F示出用于对垂直高纵横比结构进行间隙填充的间隙填充方法。垂直高纵横比结构可包括垂直凹陷。
参照图7,用于对高纵横比结构进行间隙填充的间隙填充方法可包括:步骤S201,形成垂直凹陷;步骤S202,形成第一层;转换步骤S203,用于形成第一层的氧化物;步骤S204,去除第一层的氧化物;以及步骤S205,对第二层进行间隙填充。在步骤S206中可将形成第一层的步骤S202、用于形成第一层的氧化物的转换步骤S203、去除第一层的氧化物的步骤S204重复多次,以便在没有空洞的情况下对高纵横比的垂直凹陷进行间隙填充。
参照图8A,可在基板201上方形成模结构202。基板201可以是适合于半导体处理的材料。基板201可包括半导体基板。基板201可由含硅材料形成。基板201可包括硅、单晶硅、多晶硅、非晶硅、硅锗、单晶硅锗、多晶硅锗、碳掺杂硅、其组合或其多层。基板201可包括其它半导体材料,例如锗。基板201可包括III/V族半导体基板,例如化合物半导体基板(例如,GaAs)。基板201可包括绝缘体上硅(SOI)基板。模结构202可包括介电材料。模结构202可包括氧化硅、氮化硅或其组合。根据本发明的另一实施方式,模结构202可为与基板201相同的材料。
可形成凹陷203以暴露基板201的表面的一部分。凹陷203可形成在模结构202中。可蚀刻模结构202的一部分以形成凹陷203。凹陷203可具有高纵横比。高纵横比的高度H与宽度W之比可为大约5:1或更高。从俯视图的角度,凹陷203可为圆形、椭圆形或矩形。凹陷203的侧壁可具有垂直轮廓。凹陷203可以是从基板201的表面垂直延伸的垂直高纵横比结构。以下,凹陷203将被称为“垂直凹陷203”。垂直凹陷203可具有与基板201的表面垂直的高纵横比。
包括垂直凹陷203的基板201可被称为间隙填充目标结构。
参照图8B,可在垂直凹陷203中形成第一层204。第一层204可部分地间隙填充垂直凹陷203。例如,第一层204可沿着垂直凹陷203的轮廓适形地形成。垂直凹陷203的下部中的第一层204的厚度可与垂直凹陷203的侧壁处的第一层204的厚度相同。由于第一层204以均匀的厚度形成在垂直凹陷203的表面上,所以其也可被称为衬里层。由于垂直凹陷203由第一层204部分地间隙填充,所以第一层204中可包括第一开口V1。第一开口V1可具有小于垂直凹陷203的宽度。第一开口V1可被称为窄间隙。第一开口V1可以是垂直开口。
第一层204可包括介电材料、半导体材料、金属材料或其组合。第一层204可包括硅、金属、金属氮化物、金属硅化物或其组合。第一层204可通过化学气相沉积(CVD)工艺或原子层沉积(ALD)工艺来形成。第一层204可包括含钨材料。第一层204可包括钨层。可通过使用WF6作为钨源材料并且使用H2、SiH4和B2H6当中的至少一种作为还原气体来沉积钨层。根据本发明的另一实施方式,可通过使用诸如W(CO)6的无氟钨源来沉积钨层。
参照图8C,可执行转换工艺205。转换工艺205可以是对第一层204的表面进行改性的工艺。转换工艺205可包括氧化工艺。转换工艺205可包括选择性氧化工艺。转换工艺205可包括热氧化或等离子体氧化。
转换工艺205可选择性地氧化第一层204的表面。第一层204的一部分可通过转换工艺205氧化。可通过转换工艺205形成氧化物206。氧化物206可以是第一层204的氧化物。第一层204可不被完全氧化。第一层204的氧化量可根据垂直凹陷203的高度而不同。例如,在垂直凹陷203的入口处或入口附近第一层204的一部分可被氧化,并且在垂直凹陷203的下部第一层204的氧化可被抑制。根据本发明的另一实施方式,可在垂直凹陷203的所有部分处执行第一层204的表面氧化。
氧化物206可填充垂直凹陷203的入口和下部。氧化物206可部分地填充第一层204的第一开口V1。氧化物206可非适形地形成。氧化物206可具有垂直凹陷203的入口处的第一厚度T1以及垂直凹陷203的内部中的第二厚度T2。第一厚度T1可厚于第二厚度T2。第一厚度T1可以是封闭第一开口V1的入口的厚度。氧化物206可使第一开口V1的纵横比变形。
当第一层204包括钨时,氧化物206可以是氧化钨(WOx)。第一层204的在转换工艺205之后保留未氧化的部分可由204R表示。第一层204R可被称为未氧化第一层。第一层204R可仅保留在垂直凹陷203的内部。例如,第一层204在模结构202的上表面中可全部被氧化,在垂直凹陷203的内部中可未被氧化。第一层204R可具有U形或圆柱形。
参照图8D,可选择性地去除氧化物206。随着氧化物206被去除,可在垂直凹陷203中限定第二开口V2。可在第一层204R中限定第二开口V2。第二开口V2可具有V形。第二开口V2可具有低于第一开口V1的纵横比的减小的纵横比。例如,第二开口V2的入口可比第一开口V1的入口宽。
如上所述,可通过形成氧化物206的步骤和去除氧化物206的步骤来将第一开口V1加宽为第二开口V2。
第二开口V2的侧壁可包括锥形轮廓和非锥形轮廓。例如,第二开口V2的上侧壁可具有倾斜轮廓SP。倾斜轮廓SP可对应于锥形轮廓。第二开口V2的下侧壁可具有垂直轮廓VP。垂直轮廓VP可对应于非锥形轮廓。由于倾斜轮廓SP和垂直轮廓VP,第二开口V2可具有低于第一开口V1的纵横比的减小的纵横比。根据本发明的另一实施方式,第二开口V2的上侧壁和下侧壁可具有倾斜轮廓。第二开口V2的倾斜轮廓和垂直轮廓可由第一层204R提供。
氧化物206可比第一层204R更快地蚀刻。氧化物206相对于第一层204R的蚀刻选择性可为大约20:1。可执行干法蚀刻工艺或湿法蚀刻工艺以去除氧化物206。例如,可通过使用NF3气体去除氧化物206,并且可进一步添加NH3气体或惰性气体以控制相对于第一层204R的蚀刻选择性。
通过去除氧化物206,可获得有利于后续第二层的间隙填充工艺的锥形轮廓(参见图8E中的标号“207”)。
参照图8E,可形成第二层207。第二层207可间隙填充垂直凹陷203。第二层207可完全间隙填充第二开口V2。第二层207可包括介电材料、半导体材料、金属材料或其组合。第二层207可包括硅、金属、金属氮化物、金属硅化物或其组合。第二层207可通过化学气相沉积(CVD)工艺或原子层沉积(ALD)工艺来形成。第二层207可包括含钨材料。第二层207可包括钨层。可通过使用WF6作为钨源材料并且使用H2、SiH4和B2H6当中的至少一种作为还原气体来沉积钨层。根据本发明的另一实施方式,可通过使用诸如W(CO)6的无氟钨源来沉积钨层。第二层207和第一层204R可为相同的材料。
通过如上所述形成第二层207,垂直凹陷203可由第一层204R和第二层207完全间隙填充。第一层204R和第二层207可在没有空洞的情况下间隙填充垂直凹陷203。
第一层204R和第二层207的层叠物可被称为间隙填充层210。当第一层204R和第二层207包括钨层时,间隙填充层210可包括钨层。垂直凹陷203可由钨层完全填充。
参照图8F,可将间隙填充层210平坦化。可将间隙填充层210平坦化以暴露模结构202的上表面。可通过平坦化工艺在垂直凹陷203中形成间隙填充层图案210G。间隙填充层图案210G可包括第一层图案204L和第二层图案207G。第一层图案204L可对应于第一层204R,并且第二层图案207G可通过将第二层207平坦化来形成。
间隙填充层图案210G可为导电材料。间隙填充层图案210G可以是含钨层。间隙填充层图案210G可以是钨层。间隙填充层图案210G可在没有空洞的情况下形成在垂直凹陷203中。
图9A至图9E是示出根据本发明的实施方式的另一示例的用于对高纵横比结构进行间隙填充的间隙填充工艺的横截面图。图9A至图9E中所描述的间隙填充方法可类似于图8A至图8F中所描述的间隙填充方法。
参照图9A,可在基板201上方形成模结构202。可在模结构202中形成暴露基板201的表面的一部分的垂直凹陷203。
随后,可在垂直凹陷203中形成第一层204’。第一层204’可为与图8B的第一层204相同的材料。第一层204’可包括介电材料、半导体材料、金属材料或其组合。第一层204’可包括硅、金属、金属氮化物、金属硅化物或其组合。第一层204’可通过化学气相沉积(CVD)工艺或原子层沉积(ALD)工艺来形成。第一层204’可包括含钨材料。第一层204’可包括钨层。可通过使用WF6作为钨源材料并且使用H2、SiH4和B2H6当中的至少一种作为还原气体来沉积钨层。根据本发明的另一实施方式,可通过使用诸如W(CO)6的无氟钨源来沉积钨层。
不同于图8B的第一层204,第一层204’可完全间隙填充垂直凹陷203。第一层204’中可包括空洞204V和缝隙204S。空洞204V和缝隙204S可由垂直凹陷203的高纵横比导致。
参照图9B,可执行转换工艺205’。转换工艺205’可与图8C的转换工艺205相同。转换工艺205’可以是对第一层204’的表面进行改性的工艺。转换工艺205’可包括氧化工艺。转换工艺205’可包括选择性氧化工艺。转换工艺205’可包括热氧化或等离子体氧化。
转换工艺205’可选择性地氧化第一层204’的表面。第一层204’的一部分可通过转换工艺205’氧化。可通过转换工艺205’形成氧化物206’。氧化物206’可以是第一层204’的氧化物。第一层204’可不被完全氧化。第一层204’的氧化量可根据垂直凹陷203的高度而不同。例如,在垂直凹陷203的入口处或入口附近第一层204’的一部分可被氧化,并且在垂直凹陷203的下部中第一层204’可不被氧化。垂直凹陷203的入口可由氧化物206’封闭。根据本发明的另一实施方式,可在垂直凹陷203的所有部分中执行第一层204’的表面氧化。
氧化物206’可填充垂直凹陷203的入口和入口的下部。氧化物206’可去除第一层204’的缝隙204S和空洞204V。
当第一层204’包括钨时,氧化物206’可以是氧化钨(WOx)。第一层204’的在转换工艺205’之后保留未氧化的部分可由204’R表示。第一层204’R可被称为未氧化第一层。根据本发明的另一实施方式,第一层204’R可仅保留在垂直凹陷203的内部中。例如,第一层204’在模结构202的上表面中可全部被氧化,在垂直凹陷203的内部中可不被氧化。
参照图9C,可选择性地去除氧化物206’。随着氧化物206’被去除,可在垂直凹陷203中限定开口V3。可在第一层204’R的内部中限定开口V3。开口V3可具有V形或U形。开口V3可具有低于垂直凹陷203的纵横比的减小的纵横比。开口V3的侧壁可具有锥形轮廓,即,倾斜轮廓SP。利用如上所述的倾斜轮廓SP,开口V3的入口可比底表面宽。
氧化物206’可比第一层204’R更快地蚀刻。氧化物206’相对于第一层204’R的蚀刻选择性可为大约20:1。可执行干法蚀刻工艺或湿法蚀刻工艺以去除氧化物206’。例如,可通过使用NF3气体去除氧化物206’,并且可进一步添加NH3气体或惰性气体以控制相对于第一层204’R的蚀刻选择性。
参照图9D,可形成第二层207’。第二层207’可间隙填充垂直凹陷203。第二层207’可间隙填充开口V3。第二层207’可完全填充开口V3。第二层207’可包括介电材料、半导体材料、金属材料或其组合。第二层207’可包括硅、金属、金属氮化物、金属硅化物或其组合。第二层207’可通过化学气相沉积(CVD)工艺或原子层沉积(ALD)工艺来形成。第二层207’可包括含钨材料。第二层207’可包括钨层。可通过使用WF6作为钨源材料并且使用H2、SiH4和B2H6当中的至少一种作为还原气体来沉积钨层。根据本发明的另一实施方式,可通过使用诸如W(CO)6的无氟钨源来沉积钨层。第二层207’和第一层204’R可为相同的材料。
通过如上所述形成第二层207’,垂直凹陷203可由第一层204’R和第二层207’完全间隙填充。第一层204’R和第二层207’可在没有空洞的情况下间隙填充垂直凹陷203。
第一层204’R和第二层207’的层叠物可被称为间隙填充层210’。当第一层204’R和第二层207’包括钨层时,垂直凹陷203可由钨层完全填充。
参照图9E,可将间隙填充层210’平坦化。可将间隙填充层210’平坦化以暴露模结构202的上表面。通过平坦化工艺,可在垂直凹陷203中形成间隙填充层图案210’G。间隙填充层图案210’G可包括第一层图案204’L和第二层图案207’G。第一层图案204’L可对应于第一层204’R,并且第二层图案207’G可通过第二层207’的平坦化来形成。
间隙填充层图案210’G可以是含钨层。间隙填充层图案210’G可以是钨层。可在没有空洞的情况下在垂直凹陷203中形成间隙填充层图案210’G。
在上述实施方式中,间隙填充层图案210G和210’G可以是存储器装置的一部分。间隙填充层图案210G和210’G可包括掩埋字线、掩埋位线、通孔、插塞、硅通孔(TSV)。例如,掩埋字线、掩埋位线、插塞等可以是动态随机存取存储器(DRAM)的一部分。
图10A至图10G是示出半导体器件的掩埋字线的形成方法的横截面图。
参照图10A,可在基板41中形成隔离层42。隔离层42可限定有源区域44。可通过浅沟槽隔离(STI)工艺形成隔离层42。STI工艺可如下。可蚀刻基板41以形成隔离沟槽43。可利用介电材料填充隔离沟槽43,从而形成隔离层42。隔离层42可包括氧化硅、氮化硅或其组合。可使用化学气相沉积(CVD)工艺或其它沉积工艺以利用介电材料填充隔离沟槽43。在形成介电材料以形成隔离层42之后,可另外执行诸如化学机械抛光(CMP)工艺的平坦化工艺。有源区域44可具有岛形状并且可被隔离层42包围。
随后,可在基板41中形成栅极沟槽45。栅极沟槽45可具有横穿有源区域44和隔离层42的线的形状。可通过在基板41上方形成掩模图案(未示出),并通过使用掩模图案作为蚀刻掩模执行蚀刻工艺来形成栅极沟槽45。为了形成栅极沟槽45,硬掩模层HM可用作蚀刻屏障。硬掩模层HM可具有通过掩模图案构图的图案。硬掩模层HM可包括氧化硅。栅极沟槽45的底表面可位于比隔离层42的底表面高的水平处。栅极沟槽45的深度可足以增加后续掩埋字线的平均横截面积。因此,掩埋字线的电阻可减小。根据本发明的另一实施方式,栅极沟槽45的底边缘可具有曲率。通过将栅极沟槽形成为具有曲率,在栅极沟槽45的底部中突起和凹陷可最小化,并且可容易地执行栅极的填充。
在形成栅极沟槽45之后,在栅极沟槽45下方隔离层42的一部分可凹陷以使有源区域44突出。例如,栅极沟槽45下方的隔离层42可在栅极沟槽45延伸的方向上选择性地凹陷。因此,可在栅极沟槽45下方形成鳍式区域(fin region)44F。鳍式区域44F可以是沟道区域的一部分。
栅极沟槽45可对应于图8A的垂直凹陷203。栅极沟槽45也可被称为“字线沟槽”。
参照图10B,可在栅极沟槽45的底表面和侧壁上方形成栅极介电层46。在形成栅极介电层46之前可修复栅极沟槽45的表面的蚀刻损坏。例如,在通过热氧化工艺形成牺牲氧化物之后,可去除牺牲氧化物。
可通过热氧化工艺形成栅极介电层46。例如,可将栅极沟槽45的底部和侧壁氧化以形成栅极介电层46。
根据本发明的另一实施方式,可通过诸如化学气相沉积(CVD)或原子层沉积(ALD)的沉积方法来形成栅极介电层46。栅极介电层46可包括高介电常数材料、氧化物、氮化物、氮氧化物或其组合。高介电常数材料可包括含铪材料。含铪材料可包括氧化铪、铪硅氧化物、铪硅氮氧化物或其组合。根据本发明的另一实施方式,高介电常数材料可包括氧化镧、镧铝氧化物、氧化锆、锆硅氧化物、锆硅氮氧化物、氧化铝及其组合。对于高介电常数材料,可选择性地使用本领域中已知的另一高介电常数材料。
根据本发明的另一实施方式,可通过沉积衬里多晶硅层并在衬里多晶硅层上执行自由基氧化来形成栅极介电层46。
根据本发明的另一实施方式,可通过形成衬里氮化硅层并在衬里氮化硅层上执行自由基氧化来形成栅极介电层46。
随后,可在栅极介电层46上方形成屏障层47A。屏障层47A可包括金属氮化物、金属硅氮化物或其组合。例如,屏障层47A可包括氮化钛(TiN)、钛硅氮化物(TiSiN)、氮化钨(WN)和钨硅氮化物(WSiN)。
随后,可在屏障层47A上方形成第一导电层48A。第一导电层48A可包括金属、金属氮化物或其组合。第一导电层48A可包括钨。第一导电层48A可包括氮化钛。
第一导电层48A可对应于图8B的第一层204。第一导电层48A中可包括第一开口V11。
参照图10C,可使第一导电层48A暴露于氧化工艺49。氧化步骤49可对应于图8C的转换步骤205。
可通过氧化步骤49选择性地氧化第一导电层48A的表面。可通过氧化步骤49形成氧化物50。氧化物50可以是第一导电层48A的氧化物。第一导电层48A可不被完全氧化。第一导电层48A的氧化量可根据栅极沟槽45的高度而不同。例如,在栅极沟槽45的入口处或入口附近第一导电层48A的一部分可被氧化,并且在栅极沟槽45的下部中第一导电层48A可不被氧化。根据本发明的另一实施方式,可在栅极沟槽45的所有部分中执行第一导电层48A的表面氧化。
氧化物50可填充栅极沟槽45的入口和入口的下部。氧化物50可部分地填充第一导电层48A的第一开口V11。氧化物50可使第一开口V11的纵横比变形。
当第一导电层48A包括钨时,氧化物50可以是氧化钨(WOx)。第一导电层48A的在氧化工艺49之后保留未氧化的部分可由48R表示。第一导电层48R可保留在栅极沟槽45的内部中。例如,第一导电层48A在基板41的上表面上可全部被氧化,在栅极沟槽45的内部中可不被氧化。氧化物50可封闭第一开口V11的入口。
参照图10D,可选择性地去除氧化物50。随着氧化物50被去除,可在栅极沟槽45中限定第二开口V12。可在第一导电层48R中限定第二开口V12。第二开口V12可具有V形或U形。第二开口V12可具有低于第一开口V11的纵横比的减小的纵横比。例如,第二开口V12的入口可比第一开口V11的入口宽。第二开口V12可具有锥形轮廓(即,倾斜轮廓SP)。
如上所述,可通过形成氧化物50的步骤和去除氧化物50的步骤来将第一开口V11加宽为第二开口V12。第二开口V12可具有低于第一开口V11的纵横比的减小的纵横比。第二开口V12的入口可比第二开口V12的内部宽。
氧化物50可比第一导电层48R更快地蚀刻。氧化物50相对于第一导电层48R的蚀刻选择性可为大约20:1。可执行干法蚀刻工艺或湿法蚀刻工艺以去除氧化物50。例如,可通过使用NF3气体去除氧化物50,并且可进一步添加NH3气体或惰性气体以控制相对于第一导电层48R的蚀刻选择性。氧化物50相对于屏障层47A和栅极介电层46的蚀刻选择性可至少为大约20:1或更高。
参照图10E,可形成第二导电层51。第二导电层51可完全间隙填充栅极沟槽45。第二导电层51可间隙填充第二开口V12。第二导电层51可完全填充第二开口V12。第二导电层51可为与第一导电层48R相同的材料。第二导电层51可包括金属、金属氮化物或其组合。第二导电层51可通过化学气相沉积(CVD)工艺或原子层沉积(ALD)工艺来形成。第二导电层51可包括含钨材料。第二导电层51可包括钨层。可通过使用WF6作为钨源材料并且使用H2、SiH4和B2H6当中的至少一种作为还原气体来沉积钨层。根据本发明的另一实施方式,可通过使用诸如W(CO)6的无氟钨源来沉积钨层。
如上所述,通过形成第二导电层51,栅极沟槽45可由第一导电层48R和第二导电层51完全间隙填充。第一导电层48R和第二导电层51可在没有空洞的情况下间隙填充栅极沟槽45。
第一导电层48R和第二导电层51的层叠物可被称为字线导电层或栅极导电层。当第一导电层48R和第二导电层51包括钨层时,栅极沟槽45可由钨层填充。
参照图10F,可形成掩埋字线52W。可依次执行第二导电层51和屏障层47A的平坦化和回蚀工艺以形成掩埋字线52W。例如,第二导电层51可被平坦化以暴露硬掩模层HM的上表面,随后被回蚀以在栅极沟槽45中形成掩埋字线52W。掩埋字线52W的上表面可位于比基板41的上表面更低的水平处。掩埋字线52W可包括屏障47、第一导电层图案48L和第二导电层图案51G。第一导电层图案48L可对应于第一导电层48R,并且第二导电层图案51G可通过第二导电层51的平坦化和回蚀工艺来形成。可通过屏障层47A的平坦化工艺和回蚀工艺来形成屏障47。屏障47、第一导电层图案48L和第二导电层图案51G的上表面可位于相同的水平处。
当第一导电层图案48L和第二导电层图案51G包括钨层并且屏障47包括氮化钛时,掩埋字线52W可以是TiN/W层叠物。因此,栅极沟槽45可由TiN/W层叠物填充。
参照图10G,可在掩埋字线52W上方形成字线覆盖层53。字线覆盖层53可包括介电材料。栅极沟槽45的剩余部分可由掩埋字线52W上方的字线覆盖层53填充。字线覆盖层53可包括氮化硅。根据本发明的另一实施方式,字线覆盖层53可包括氧化硅。根据本发明的另一实施方式,字线覆盖层53可以是NON(氮化物-氧化物-氮化物)结构。字线覆盖层53的上表面可位于与硬掩模层HM的上表面相同的水平处。为此,当形成字线覆盖层53时可执行化学机械抛光(CMP)工艺。
根据本发明的另一实施方式,可通过图9A至图9E中所描述的方法来形成掩埋字线52W。
图11A至图11F是示出半导体器件的接触插塞的形成方法的横截面图。
参照图11A,可在基板61中形成杂质区域62。可在基板61上方形成晶体管的平面栅极PG。杂质区域62可包括晶体管的源区和漏区。杂质区域62可包括N型杂质、P型杂质或其组合。平面栅极PG可包括栅极介电层和栅极的层叠物。根据本发明的另一实施方式,除了平面栅极PG之外还可形成非平面栅极。非平面栅极可包括FinFET的栅极。
可在基板61上方形成层间介电层63。层间介电层63可包括氧化硅、氮化硅或其组合。
可在层间介电层63中形成接触孔64。可通过蚀刻层间介电层63的一部分来形成暴露杂质区域62的表面的接触孔64。接触孔64可对应于图8A的垂直凹陷203。
参照图11B,可在杂质区域62的表面上形成金属硅化物层65。可在金属硅化物层65上方形成屏障层66A。可沿着接触孔64的轮廓在层间介电层63上方形成屏障层66A。可在屏障层66A上方形成第一导电层67A。第一导电层67A可对应于图8B的第一层204。第一导电层67A可包括钨层。第一导电层67A可包括第一开口V1。
参照图11C,可将第一导电层67A暴露于氧化工艺68。氧化工艺68可对应于图8C的转换工艺205。
可通过氧化工艺68选择性地氧化第一导电层67A的表面。可通过氧化工艺68形成氧化物69。氧化物69可以是第一导电层67A的氧化物。第一导电层67A可不被完全氧化。第一导电层67A的氧化量可根据接触孔64的高度而不同。例如,在接触孔64的入口处或入口附近第一导电层67A的一部分可被氧化,并且在接触孔64的下部中第一导电层67A可不被氧化。根据本发明的另一实施方式,可在接触孔64的所有部分中执行第一导电层67A的表面氧化。
氧化物69可填充接触孔64的入口和入口的下部。氧化物69可填充第一导电层67A的第一开口V1的一部分。氧化物69可使第一开口V1的纵横比变形。氧化物69可封闭第一开口V1的入口。
当第一导电层67A包括钨时,氧化物69可以是氧化钨(WOx)。第一导电层67A的在氧化工艺68之后保留未氧化的部分可由67R表示。第一导电层67R可保留在接触孔64的内部中。例如,第一导电层67A在层间介电层63的上表面上可全部被氧化,在接触孔64的下部中可不被氧化。氧化物69可封闭第一开口V1的入口。
参照图11D,可选择性地去除氧化物69。随着氧化物69被去除,可在接触孔64中限定第二开口V2。可在第一导电层67R中限定第二开口V2。第二开口V2可具有V形或U形。第二开口V2可具有低于第一开口V1的纵横比的减小的纵横比。例如,第二开口V2的入口可比第一开口V1的入口宽。第二开口V2可具有锥形轮廓,即,倾斜轮廓SP。
如上所述,可通过形成氧化物69的步骤和去除氧化物69的步骤来将第一开口V1加宽为第二开口V2。第二开口V2可具有低于第一开口V1的纵横比的减小的纵横比。第二开口V2的入口可比第二开口V2的内部宽。
氧化物69可比第一导电层67R更快地蚀刻。氧化物69相对于第一导电层67R的蚀刻选择性可为大约20:1。可执行干法蚀刻工艺或湿法蚀刻工艺以去除氧化物69。例如,可通过使用NF3气体去除氧化物69,并且可进一步添加NH3气体或惰性气体以控制相对于第一导电层67R的蚀刻选择性。氧化物69相对于屏障层66A的蚀刻选择性可至少为大约20:1。
参照图11E,可形成第二导电层70。第二导电层70可完全间隙填充接触孔64。第二导电层70可间隙填充第二开口V2。第二导电层70可完全填充第二开口V2。第二导电层70可为与第一导电层67R相同的材料。第二导电层70可包括钨层。可通过使用WF6作为钨源材料并且使用H2、SiH4和B2H6当中的至少一种作为还原气体来沉积钨层。根据本发明的另一实施方式,可通过使用诸如W(CO)6的无氟钨源来沉积钨层。
如上所述,通过形成第二导电层70,接触孔64可由第一导电层67R和第二导电层70完全间隙填充。第一导电层67R和第二导电层70可在没有空洞的情况下间隙填充接触孔64。
第一导电层67R和第二导电层70的层叠物可被称为接触导电层。当第一导电层67R和第二导电层70包括钨层时,接触孔64可由钨层填充。
参照图11F,可形成接触插塞71。可将第二导电层70和屏障层66A平坦化以形成接触插塞71。例如,可将第二导电层70和屏障层66A平坦化以暴露层间介电层63的上表面。接触插塞71可包括屏障66、第一导电层图案67R和第二导电层图案70G。第一导电层图案67R可对应于第一导电层67R,并且第二导电层图案70G可通过将第二导电层70平坦化来形成。屏障66可通过将屏障层66A平坦化来形成。屏障66、第一导电层图案67R和第二导电层图案70G的上表面可位于相同的水平处。
当第一导电层图案67R和第二导电层图案70G包括钨层并且屏障66包括氮化钛时,接触孔64可由TiN/W的层叠物填充。例如,第一导电层图案67R和第二导电层图案70G的层叠物可以是钨插塞。
根据本发明的另一实施方式,可通过图9A至图9E中所描述的方法来形成接触插塞71。
根据本发明的另一实施方式,接触插塞71可以是垂直NAND的字线触点。
图12是示出垂直NAND的字线触点的横截面图。
参照图12,可在字线焊盘部分400中交替地层叠多条字线401A至401D和多个介电层402。字线焊盘部分400可指图6J所示的栅极结构30的端部。字线401A至401D可通过图6A至图6J中所描述的方法来形成。介电层402可对应于图6A至图6J所示的介电层12。字线401A至401D可分别形成在水平凹陷401L中。因此,字线401A至401D可以是水平高纵横比结构,并且可通过无空洞W间隙填充工艺形成。
字线焊盘部分400可包括台阶结构。字线焊盘部分400可由层间介电层403覆盖。字线触点404A至404D可形成在穿透层间介电层403的垂直凹陷404V中。字线触点404A至404D可分别联接到字线401A至401D。字线触点404A至404D可包括钨层。字线触点404A至404D可对应于接触插塞71。因此,字线触点404A至404D可以是形成在垂直凹陷404V中的垂直高纵横比结构,并且字线触点404A至404D可通过上述实施方式的无空洞钨间隙填充工艺来形成。
如上所述,字线触点404A至404D可具有相同的宽度,并且可具有不同的高度。字线触点404A至404D可具有不同的纵横比。
字线触点404A至404D可通过根据上述本发明的实施方式的间隙填充方法来形成。如上所述,根据上述本发明的实施方式的间隙填充方法可被应用于用于对具有不同纵横比的的高纵横比结构进行间隙填充的间隙填充工艺。
根据本发明的实施方式,由于获得有利于间隙填充工艺的轮廓,所以可在没有空洞的情况下对凹陷进行间隙填充。
根据本发明的实施方式,可通过在间隙填充工艺期间抑制或去除空洞来防止由烟雾侵蚀导致的缺陷。
尽管关于特定实施方式描述了本发明,对于本领域技术人员而言将显而易见的是,在不脱离以下权利要求书中所限定的本发明的精神和范围的情况下,可进行各种改变和修改。
相关申请的交叉引用
本申请要求2018年7月13日提交的韩国专利申请No.10-2018-0081881的优先权,其整体通过引用并入本文。

Claims (30)

1.一种用于对高纵横比结构进行间隙填充的方法,该方法包括以下步骤:
形成包括凹陷的间隙填充目标结构;
在所述凹陷中形成具有第一开口的第一层;
将所述第一层暴露于氧化工艺以形成用于封闭所述第一开口的入口的所述第一层的氧化物;
通过选择性地去除所述第一层的氧化物来形成第二开口,该第二开口具有比所述第一开口的入口宽的入口;以及
形成对所述第二开口进行间隙填充的第二层。
2.根据权利要求1所述的方法,其中,所述氧化工艺包括:
将所述第一层的表面部分地氧化。
3.根据权利要求1所述的方法,其中,所述第一层的氧化物非适形地形成,并且
所述第一层的氧化物的一侧具有用于封闭所述第一开口的入口的第一厚度,并且所述第一层的氧化物的另一侧具有比所述第一厚度薄的第二厚度。
4.根据权利要求1所述的方法,其中,所述第一层包括金属,并且所述第一层的氧化物包括所述金属的氧化物。
5.根据权利要求1所述的方法,其中,所述第一层包括钨,并且所述第一层的氧化物包括钨的氧化物。
6.根据权利要求1所述的方法,其中,所述第一层和所述第二层包括钨,并且所述第一层的氧化物包括钨的氧化物。
7.根据权利要求1所述的方法,其中,形成包括所述凹陷的所述间隙填充目标结构的步骤包括:
形成第一介电层、牺牲层和第二介电层依次层叠在基板上方的层叠结构;
蚀刻所述层叠结构以形成狭缝;以及
通过所述狭缝选择性地去除所述牺牲层以在所述第一介电层和所述第二介电层之间形成水平凹陷,
其中,所述水平凹陷具有平行于所述基板的表面的纵横比。
8.根据权利要求1所述的方法,其中,形成包括所述凹陷的所述间隙填充目标结构的步骤包括:
在基板上方形成模结构;以及
蚀刻所述模结构以形成垂直凹陷,
其中,所述垂直凹陷具有垂直于所述基板的表面的纵横比。
9.根据权利要求1所述的方法,其中,形成包括所述凹陷的所述间隙填充目标结构的步骤包括:
蚀刻基板以形成垂直凹陷,
其中,所述垂直凹陷具有垂直于所述基板的表面的纵横比。
10.根据权利要求1所述的方法,该方法还包括以下步骤:
重复在所述凹陷中形成具有所述第一开口的所述第一层的步骤、将所述第一层暴露于所述氧化工艺的步骤以及形成所述第二开口的步骤。
11.一种用于制造半导体器件的方法,该方法包括以下步骤:
形成间隙填充目标结构,该间隙填充目标结构包括多个介电层以及限定在所述多个介电层之间的多个水平凹陷;
在所述间隙填充目标结构上方形成第一层,该第一层具有分别位于所述多个水平凹陷中的多个第一开口;
将所述第一层暴露于氧化工艺以形成用于封闭所述第一开口的入口的所述第一层的氧化物;
选择性地去除所述第一层的氧化物以留下具有多个第二开口的未氧化第一层,所述第二开口的入口比所述水平凹陷中的所述第一开口的入口宽;以及
形成对所述第二开口进行间隙填充的第二层。
12.根据权利要求11所述的方法,其中,所述氧化工艺包括:
将所述第一层的表面部分地氧化。
13.根据权利要求11所述的方法,其中,所述第一层的氧化物非适形地形成,并且
所述第一层的氧化物的一侧具有用于封闭所述第一开口的入口的第一厚度,并且所述第一层的氧化物的另一侧具有比所述第一厚度薄的第二厚度。
14.根据权利要求11所述的方法,其中,所述第一层包括金属,并且所述第一层的氧化物包括所述金属的氧化物。
15.根据权利要求11所述的方法,其中,所述第一层和所述第二层包括钨,并且所述第一层的氧化物包括钨的氧化物。
16.根据权利要求11所述的方法,该方法还包括以下步骤:
在形成对所述第二开口进行间隙填充的所述第二层之后,选择性地去除所述第二层以分别在所述多个水平凹陷中形成由所述未氧化第一层和所述第二层形成的多条水平字线。
17.根据权利要求11所述的方法,该方法还包括以下步骤:
在形成具有所述第一开口的所述第一层之前,形成做所述水平凹陷的衬里的屏障材料。
18.根据权利要求11所述的方法,其中,形成包括所述多个介电层以及限定在所述多个介电层之间的所述多个水平凹陷的所述间隙填充目标结构的步骤包括:
通过在基板上方交替地层叠所述多个介电层和多个牺牲层来形成层叠结构;
蚀刻所述层叠结构以形成狭缝;以及
通过所述狭缝选择性地去除所述牺牲层以形成所述水平凹陷。
19.根据权利要求18所述的方法,在蚀刻所述层叠结构以形成所述狭缝之前,该方法还包括以下步骤:
蚀刻所述层叠结构以形成多个沟道孔;以及
形成分别填充所述多个沟道孔的多个垂直沟道结构。
20.根据权利要求11所述的方法,其中,所述未氧化第一层和所述第二层的层叠物包括垂直NAND的字线。
21.一种用于对高纵横比结构进行间隙填充的方法,该方法包括以下步骤:
形成包括水平凹陷的间隙填充目标结构;
利用包括空洞的第一层对所述水平凹陷进行间隙填充;
将所述第一层暴露于氧化工艺以形成所述第一层的氧化物,所述第一层的氧化物在封闭所述水平凹陷的入口的同时去除所述空洞;
选择性地去除所述第一层的氧化物以形成具有宽入口的开口;以及
形成对所述开口进行间隙填充的第二层。
22.根据权利要求21所述的方法,其中,所述氧化工艺包括:
将所述第一层的表面部分地氧化。
23.根据权利要求21所述的方法,其中,所述第一层的氧化物非适形地形成,并且
所述第一层的氧化物的一侧具有用于封闭所述水平凹陷的入口的第一厚度,并且所述第一层的氧化物的另一侧具有比所述第一厚度薄的第二厚度。
24.根据权利要求21所述的方法,其中,所述第一层和所述第二层包括金属,并且所述第一层的氧化物包括所述金属的氧化物。
25.根据权利要求21所述的方法,其中,所述第一层和所述第二层包括钨,并且所述第一层的氧化物包括钨的氧化物。
26.一种用于对高纵横比结构进行间隙填充的方法,该方法包括以下步骤:
形成包括垂直凹陷的间隙填充目标结构;
利用包括空洞的第一层对所述垂直凹陷进行间隙填充;
将所述第一层暴露于氧化工艺以形成所述第一层的氧化物,所述第一层的氧化物在封闭所述垂直凹陷的入口的同时去除所述空洞;
选择性地去除所述第一层的氧化物以形成具有宽入口的开口;以及
形成对所述开口进行间隙填充的第二层。
27.根据权利要求26所述的方法,其中,所述氧化工艺包括:
将所述第一层的表面部分地氧化。
28.根据权利要求26所述的方法,其中,所述第一层的氧化物非适形地形成,并且
所述第一层的氧化物的一侧具有用于封闭所述垂直凹陷的入口的第一厚度,并且所述第一层的氧化物的另一侧具有比所述第一厚度薄的第二厚度。
29.根据权利要求26所述的方法,其中,所述第一层和所述第二层包括金属,并且所述第一层的氧化物包括所述金属的氧化物。
30.根据权利要求26所述的方法,其中,所述第一层和所述第二层包括钨,并且所述第一层的氧化物包括钨的氧化物。
CN201910014839.3A 2018-07-13 2019-01-08 间隙填充方法以及使用该方法制造半导体器件的方法 Active CN110718501B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020180081881A KR102607331B1 (ko) 2018-07-13 2018-07-13 고종횡비 구조를 위한 갭필 방법 및 그를 이용한 반도체장치 제조 방법
KR10-2018-0081881 2018-07-13

Publications (2)

Publication Number Publication Date
CN110718501A true CN110718501A (zh) 2020-01-21
CN110718501B CN110718501B (zh) 2023-10-20

Family

ID=69209302

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910014839.3A Active CN110718501B (zh) 2018-07-13 2019-01-08 间隙填充方法以及使用该方法制造半导体器件的方法

Country Status (2)

Country Link
KR (1) KR102607331B1 (zh)
CN (1) CN110718501B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113078114A (zh) * 2021-03-25 2021-07-06 长鑫存储技术有限公司 半导体结构制作方法及半导体结构
CN113611662A (zh) * 2021-08-02 2021-11-05 长鑫存储技术有限公司 半导体结构的制备方法及半导体结构

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220285362A1 (en) * 2021-03-05 2022-09-08 Applied Materials, Inc. Methods and structures for three-dimensional dynamic random-access memory

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000026485A (ko) * 1998-10-20 2000-05-15 김영환 반도체소자의 갭필링(gap filling)방법
US6117782A (en) * 1999-04-22 2000-09-12 Advanced Micro Devices, Inc. Optimized trench/via profile for damascene filling
US6136664A (en) * 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US20050101148A1 (en) * 2003-11-08 2005-05-12 Advanced Micro Devices, Inc. Method for preventing an increase in contact hole width during contact formation
KR20060074078A (ko) * 2004-12-27 2006-07-03 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
JP2007049187A (ja) * 1997-04-11 2007-02-22 Renesas Technology Corp 半導体装置の製造方法および半導体装置
TW200843030A (en) * 2007-01-16 2008-11-01 Ibm Subground rule STI fill for HOT structure
KR20090053036A (ko) * 2007-11-22 2009-05-27 주식회사 하이닉스반도체 플래시 메모리 소자의 제조 방법
US20110104862A1 (en) * 2009-11-05 2011-05-05 Elpida Memory, Inc. Method of forming semiconductor device and semiconductor device
CN102082117A (zh) * 2009-11-30 2011-06-01 海力士半导体有限公司 通过自对准镶嵌工艺在半导体器件中形成侧接触的方法
US20120156848A1 (en) * 2010-12-17 2012-06-21 Sang-Ryol Yang Method of manufacturing non-volatile memory device and contact plugs of semiconductor device
JP2013045946A (ja) * 2011-08-25 2013-03-04 Tokyo Electron Ltd トレンチの埋め込み方法および半導体集積回路装置の製造方法
US20130224919A1 (en) * 2012-02-28 2013-08-29 Yongping Ding Method for making gate-oxide with step-graded thickness in trenched dmos device for reduced gate-to-drain capacitance
US9589960B1 (en) * 2015-12-23 2017-03-07 SK Hynix Inc. Semiconductor device having buried gate structure, method for manufacturing the same, memory cell having the same, and electronic device having the same
US20180040506A1 (en) * 2016-08-04 2018-02-08 Semiconductor Manufacturing International (Beijing) Corporation Semiconductor device and manufacture thereof

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
KR20120069034A (ko) * 2010-12-20 2012-06-28 삼성전자주식회사 수직형 메모리 장치 및 그 제조 방법
KR102031622B1 (ko) * 2013-01-18 2019-10-14 삼성전자주식회사 수직형 반도체 소자

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007049187A (ja) * 1997-04-11 2007-02-22 Renesas Technology Corp 半導体装置の製造方法および半導体装置
US6136664A (en) * 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
KR20000026485A (ko) * 1998-10-20 2000-05-15 김영환 반도체소자의 갭필링(gap filling)방법
US6117782A (en) * 1999-04-22 2000-09-12 Advanced Micro Devices, Inc. Optimized trench/via profile for damascene filling
US20050101148A1 (en) * 2003-11-08 2005-05-12 Advanced Micro Devices, Inc. Method for preventing an increase in contact hole width during contact formation
KR20060074078A (ko) * 2004-12-27 2006-07-03 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
TW200843030A (en) * 2007-01-16 2008-11-01 Ibm Subground rule STI fill for HOT structure
KR20090053036A (ko) * 2007-11-22 2009-05-27 주식회사 하이닉스반도체 플래시 메모리 소자의 제조 방법
US20110104862A1 (en) * 2009-11-05 2011-05-05 Elpida Memory, Inc. Method of forming semiconductor device and semiconductor device
CN102082117A (zh) * 2009-11-30 2011-06-01 海力士半导体有限公司 通过自对准镶嵌工艺在半导体器件中形成侧接触的方法
US20120156848A1 (en) * 2010-12-17 2012-06-21 Sang-Ryol Yang Method of manufacturing non-volatile memory device and contact plugs of semiconductor device
JP2013045946A (ja) * 2011-08-25 2013-03-04 Tokyo Electron Ltd トレンチの埋め込み方法および半導体集積回路装置の製造方法
US20130224919A1 (en) * 2012-02-28 2013-08-29 Yongping Ding Method for making gate-oxide with step-graded thickness in trenched dmos device for reduced gate-to-drain capacitance
US9589960B1 (en) * 2015-12-23 2017-03-07 SK Hynix Inc. Semiconductor device having buried gate structure, method for manufacturing the same, memory cell having the same, and electronic device having the same
US20180040506A1 (en) * 2016-08-04 2018-02-08 Semiconductor Manufacturing International (Beijing) Corporation Semiconductor device and manufacture thereof

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113078114A (zh) * 2021-03-25 2021-07-06 长鑫存储技术有限公司 半导体结构制作方法及半导体结构
CN113078114B (zh) * 2021-03-25 2023-08-22 长鑫存储技术有限公司 半导体结构制作方法及半导体结构
CN113611662A (zh) * 2021-08-02 2021-11-05 长鑫存储技术有限公司 半导体结构的制备方法及半导体结构
CN113611662B (zh) * 2021-08-02 2023-06-30 长鑫存储技术有限公司 半导体结构的制备方法及半导体结构

Also Published As

Publication number Publication date
KR20200007594A (ko) 2020-01-22
KR102607331B1 (ko) 2023-11-29
CN110718501B (zh) 2023-10-20

Similar Documents

Publication Publication Date Title
CN106024794B (zh) 半导体器件及其制造方法
US9257437B2 (en) Semiconductor device and method of manufacturing the same
CN110797345B (zh) 垂直存储器件
US11751395B2 (en) Vertical semiconductor device and method for fabricating the vertical semiconductor device
CN110289265B (zh) 3d nand存储器的形成方法
US11217602B2 (en) Memory device and method for fabricating the memory device
KR102686881B1 (ko) 반도체 장치 및 그 제조 방법
CN110718501B (zh) 间隙填充方法以及使用该方法制造半导体器件的方法
TW202213650A (zh) 半導體元件
TW202133349A (zh) 半導體裝置及其製造方法
CN111799273A (zh) 一种半导体器件及其制造方法
CN114464621A (zh) 半导体器件
KR20210057249A (ko) 반도체 소자 및 그의 제조 방법
CN215299254U (zh) 半导体器件
CN104425385B (zh) 嵌入式存储元件的制造方法
KR20200101717A (ko) 반도체장치 및 그 제조 방법
CN110875317A (zh) 集成电路存储器及其形成方法
KR102708558B1 (ko) 수직형 메모리 장치
US20240074165A1 (en) Semiconductor device and method for fabricating the same
KR102705036B1 (ko) 반도체 메모리 장치
TW202341285A (zh) 半導體裝置與其形成方法
CN113594098A (zh) 半导体器件及其制备方法
KR20240076548A (ko) 반도체 소자 및 이의 제조 방법
KR20220073231A (ko) 반도체 장치
CN113871393A (zh) 三维存储器的制作方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant