CN1104511C - 等离子加工室的温控方法及设备 - Google Patents

等离子加工室的温控方法及设备 Download PDF

Info

Publication number
CN1104511C
CN1104511C CN97195275A CN97195275A CN1104511C CN 1104511 C CN1104511 C CN 1104511C CN 97195275 A CN97195275 A CN 97195275A CN 97195275 A CN97195275 A CN 97195275A CN 1104511 C CN1104511 C CN 1104511C
Authority
CN
China
Prior art keywords
window
antenna
insulating part
temperature
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN97195275A
Other languages
English (en)
Other versions
CN1221460A (zh
Inventor
托马斯·E·威克
乔尔·M·库克
罗伯特·A·马拉斯奇
威廉姆·S·肯尼迪
尼尔·本杰明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1221460A publication Critical patent/CN1221460A/zh
Application granted granted Critical
Publication of CN1104511C publication Critical patent/CN1104511C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Abstract

等离子加工室(10)包括一个基片座(12)和一个具有面对基片座的内表面的绝缘件如绝缘窗口(20)或气体分配板,在基片加工过程中上述内表面保持低于阈值温度以尽量减小加工漂移。加工室可包括一个天线(18),该天线通过绝缘件感应耦合RF能量以便使工艺气体被赋能而达到等离子状态。天线可包括一条通道(24),其中通过已被闭合回路温度控制器冷却的温控流体。内表面的温度控制减小了在基片连续加工过程中,如在半导体晶片的氧化腐蚀过程中的加工漂移和质量下降。

Description

等离子加工室的温控方法及设备
本发明涉及等离子加工室及等离子加工室温控方法。更具体来说,本发明涉及一种在连续加工多个基片时用于冷却面对基片的内表面以防止加工漂移的方法和加工室。
真空加工室一般用于向真空室供应工艺气体并向气体施加RF场的化学气相淀积及在基片上腐蚀材料。在共同拥有的美国专利第4,340,462号和第5,200,232号中公开了平行板变压器耦合等离子(TCP,也称为ICP),以及电子旋加速器共振(ECR)反应器的实例。在加工过程中基片被基片座在真空室中固定就位。传统的基片座包括机械式夹子和静电夹子(ESC)。机械式夹子和ESC基片座的实例提供于共同拥有的美国专利第5,262,029号和1995年3月10日提交的美国申请第08/401,524号中。如美国专利第4,579,618号中所公开的那样,电极形式的基片座可以向室中供应射频(RF)能源。
美国专利第4,948,458号、第5,198,718号、第5,241,245号、第5,304,279号和第5,401,350号中公开了等离子加工系统,其中,连接于射频(RF)源的天线使加工室中的气体增能而达到等离子状态。在上述系统中,天线位于加工室外,RF能量通过一绝缘窗口供入室内。这种加工系统可用于各种半导体加工场合,如腐蚀、淀积、防蚀涂层剥离等。
本发明的目的是当基片被连续加工时通过控制面对基片的内表面的温度来克服加工漂移和加工后的基片质量下降的问题。面对基片的内表面的温度控制可使基片被连续加工而极少加工漂移。
根据本发明的一个方面,提供一种方法,该方法用于控制构成等离子加工室一个壁的绝缘件的内表面的温度。在该方法中,射频能量通过绝缘窗口电感耦合,内表面面对用于固定基片的基片座。该方法包括:例如通过下述方式冷却内表面,即,使温控流体与绝缘件热传递接触地通过以保持内表面低于阈值温度,以及连续地加工基片,同时保持内表面低于阈值温度。在加工如氧化腐蚀过程中阈值温度可低于或等于90℃。绝缘件可以是一个室构件如气体分配板或绝缘窗口。
按照本发明的一个推荐实施例,绝缘件包括一个绝缘窗口或窗口/气体分配板组合,温控流体通过一条在RF天线中的通道,RF天线被窗口与室内部隔开。温控流体最好是绝缘液体,内表面最好保持在低于或等于80℃的温度。
按照本发明的另一个方面,提供一种等离子加工室,它包括一个用于在加工室中固定基片的基片座、一个具有面对基片的内表面的绝缘件、向加工室供应工艺气体的气体源和一个冷却机构,该机构冷却绝缘件,这可以通过下述方式实现:与绝缘件热传递接触地通过温控流体,从而将内表面冷却得低于阈值温度。
现在参阅以下附图详述本发明,图中相同的零件使用相同的件号。
图1是按照本发明的具有天线冷却机构的真空加工室的横剖图;
图2的温度-时间曲线图表示在没有天线冷却机构的加工室中出现的加工漂移;
图3a-f是在图2所示的加工过程中腐蚀的25个晶片的两个连续运行的第2、第6、第12、第25、第42和第50个晶片的氧化腐蚀轮廓的显微照相;
图4是图1所示设备中窗口温度的曲线图,其中,天线是以每分钟150立方英尺的空气吹向天线而冷却的;
图5是图1所示设备中窗口和气体分配板的温度的曲线图,其中,天线是使液体通过天线而冷却的;
图6是按照本发明另一实施例的用于绝缘窗口和气体分配板的安装布置的横剖图。
在基片的等离子腐蚀中,加工结果取决于腐蚀速率、腐蚀轮廓及腐蚀选择性,其中每一个都可以作为已被连续腐蚀的晶片数目的函数而变化。加工结果的这种变化称为加工漂移。加工漂移使加工结果移出“加工窗口”,在“加工窗口”中得到的基片的规格对于其用途来说是可以接受的。当出现加工漂移,加工结果移出“加工窗口”时,被加工的基片不在规格范围内,不能使用。
在氧化腐蚀加工中腐蚀的基片一般包括一个底层、一个待腐蚀的氧化物层和一个在氧化物层顶部形成的抗光蚀剂层。氧化物层可以是SiO2,BPSG,PSG或其它氧化物材料中的一种。底层可以是Si,TiN,硅化物或其它底层或基片材料。腐蚀选择性是待腐蚀层的腐蚀速率比抗光蚀剂腐蚀速率,最好约为4∶1或更高。氧化物层比底层的腐蚀选择性最好大于氧化物比抗光蚀剂的腐蚀选择性,例如为40∶1。在基片的连续加工过程中由于加工室的温度上升,上述腐蚀选择性可能改变。例如,当氧化腐蚀过程中加工室加热至80℃以上时,在可能发生的反应中CF3形成CF2和HF,CF2导致聚合物沉积增加,从而引起加工漂移。对于其它加工来说可能发生相同的问题,例如,沉积反应或阻碍解脱(resist tripping),其中与掩膜层的化学反应引起聚合物沉积。这种沉积由于可引起晶片的不均匀加工因而是不合乎需要的,这个问题随着更多的晶片被加工而加剧。
腐蚀如氧化物、金属、聚硅(polysilicon)等层的加工室,以及膜沉积加工一般包括一个具有RF偏压电极的基片支承件,以及一个当进行He背面冷却时用于在支承件上固定基片的夹子。基片支承件一般是液体冷却的,以防止基片温度增加到可接受的水平以上。但是,在邻近或面对基片的被处理表面具有内部室表面,如绝缘窗口或气体分配板的加工室中,在基片加工过程中室表面可被加热,而且由于这种温度变化引起的腐蚀化学方面的变化,可引起不合乎需要的加工漂移。
在高密度等离子腐蚀反应器(例如,感应耦合、变压器耦合,helicon等),氧化物的腐蚀速率和腐蚀选择性也可能随着已连续加工的基片数目增加,室温上升而发生变化。氧化物的腐蚀速率由于室温上升而降低,直至最后腐蚀可能停止。腐蚀选择性是被腐蚀层的腐蚀速率比抗光蚀剂层或底层的腐蚀速率,它也可能由于加工室中温度上升而发生变化。
按照本发明,在基片上方的绝缘件的内表面受到温度控制,以便尽可能减小加工漂移问题。本发明特别适用于在绝缘材料,例如,通常覆盖在硅、多晶硅、硅化物、氮化钛、铝等导电层或氮化硅等非导电材料上的二氧化硅(如含添加剂或不含添加剂的TEOS,BPSG,USG)(不含添加剂的旋装玻璃),热氧化物,等离子氧化物等)。按照本发明,加工漂移可减小至下述程度:在连续批量加工基片如半导体晶片(例如,至少25个连续基片)的过程中可使各基片连续保持(接触孔、通道、沟槽等)特征,这些特征的尺寸为0.5μm或更小,纵横比在2∶1至7∶1的范围内。
图1表示按照本发明一实施例的真空加工室。真空加工室10包括一个基片座12,它向其上支承的基片提供RF偏压;以及一个机械夹头14,其用于夹紧基片同时对其进行He背面冷却(He back cooled)。用于在室内保持高密度。(例如,1011-1012离子/cm3)等离子状态的能源,例如由适当RF源和与电路匹配的适当的RF阻抗供能的天线18向室10中感应耦合RF能量,以便提高密度等离子体。上述室包括适当的真空泵送设备,其用于使室内保持需要的压力(例如低于50毫乇,一般为1-20毫乇)。基本平面的厚度均匀的绝缘窗口设置在天线18和加工室10内部之间,构成加工室10顶部的真空壁。通常为淋头的气体分配板22设置在窗口下面,包括多个孔如圆孔(未画出),其用于借助气源23向加工室10供应工艺气体。但是,天线18和/或窗口20可以通过其它技术冷却,例如,向天线和窗口吹送空气,与窗口和/或气体分配板热传递接触地通过冷却介质等。
在没有窗口温控的感应耦合等离子反应器系统中等离子腐蚀半导体基片的过程中,呈腐蚀壁形状的腐蚀轮廓由于在腐蚀过程中加工室内出现温升而可能发生变化。图2所示时间-温度曲线图表示加工漂移对氧化腐蚀轮廓的影响。如图3a-f所示,表示为六张显微照相的被腐蚀基片的腐蚀轮廓随连续加工基片的时间而变化。在加工开始时,第二个(图3a)和第六个(图3b)被腐蚀的基片具有的腐蚀壁,其横截面几乎是竖直的。当加工进行至第十二个(图3c)和第二十五个(图3d)被腐蚀的基片时,腐蚀壁就不竖直了。在图2所示的实验中,当已加工25个基片后,由于船(即,晶片盒)的改变和传递模量重调(transfer module reset),因而加工被延迟。这种延迟引起基片连续加工的中断,导致曲线图中所示的温度下降。在船改变和传递模量重调后,加工室和窗口的温度连续上升。从第四十二个(图3e)和第五十个(图3f)基片的横截面可以看出,这些基片的腐蚀轮廓变形程度更大。由于腐蚀轮廓变形,正被腐蚀的接触孔不能正确地穿过氧化物层腐蚀至底层。不能腐蚀穿过氧化物层,这可能引起最后的集成电路块不能正常工作。
图4是图1所示设备的在25个硅半导体晶片运行过程中的参数曲线图,其中,在图的右侧表示选择性,在图的左侧表示在氮化铝窗口各位置上的温度。按照本发明,以每分钟150立方英尺的速度向天线和窗口送风,从而冷却窗口。曲线A表示窗口中央的温度,曲线B表示窗口外缘的温度,曲线C表示在窗口中央和外缘之间位置上的温度。曲线D表示在晶片中央的选择性,曲线E表示在晶片外缘的选择性。
图4所示实验结果是在感应耦合等离子反应器中进行的,该反应器具有氮化铝窗口(类似于图1所示装置,但在气体分配板中央有一个10英寸的孔以露出窗口),在窗口外表面上方相隔0.14英寸的螺旋天线上吹送空气以冷却窗口。天线被供送13.56MHz的RF能量,在基片支承件中的RF偏压电极被供送4Mhz RF能量。在室中连续加工25个晶片之后,如图3所示,窗口的温度并未升至120℃以上。晶片的加工包括使用25sccm C2HF5和15sccm CHF3,进行90秒钟的氧化腐蚀,天线应用1100瓦特,在基片支承件中的底部偏压电极应用1300瓦特,室压为5毫乇,底部电极为-10℃。上述氧化腐蚀后进行使用750sccm O2的10秒钟的灰化步骤,天线应用400瓦特,RF偏压电极应用100瓦特,室压为15.5毫乇,随后进行使用750sccm O2的50秒钟的第二次灰化步骤,天线应用400瓦特,RF偏压功率为40瓦特。但是对于第2,6,12,18和25号晶片省略灰化步骤。包括运送和其它整理操作,每个晶片的循环时间约为230秒钟。在0.68英寸和5.68英寸的半径上使用探针测量窗口温度。
图5是在图1所示设备中运行5个晶片过程中窗口温度曲线图。按照本发明,在天线应用1850瓦特,在基片支承件中的RF偏压电极应用大约2100瓦特时,在天线中的通道中通过约为20℃的绝缘液体,以便冷却窗口。在图5中,曲线A表示窗口中央的温度,曲线B表示离开窗口中央2.75英寸处的温度,曲线C表示离开气体分配板中央0.5英寸处的温度,曲线D表示,离开板中央3英寸处的温度,曲线E表示进入天线的冷却剂的温度,曲线F表示离开天线的冷却剂的温度。
在工作中,晶片放置在基片座12上,在采用He背面冷却时一般由静电夹头、机械夹头或其它夹紧机构固定就位。然后使工艺气体流过窗口20和气体分配板22之间的间隙,从而向真空加工室10供应工艺气体。在共同拥有的美国专利申请第08/509,080号中公开了一种适当的气体分配板(即,淋头)装置,其公开的技术内容本说明书引作参考。例如,虽然图1中窗口和气体分配板装置是平面的,具有一致的厚度,但是窗口和/或气体分配板也可以是非平面的和/或具有不一致的厚度。然后向天线18供应适当的RF能量,从而在晶片和窗口之间空间点燃高密度等离子体。温控流体流过天线18中的通道,将天线18、窗口20和气体分配板22保持在阈值温度以下的温度上。
在氧化腐蚀过程中,室压一般低于300毫乇,最好为2-40毫乇,天线功率为200-2000瓦特,最好为400-1600瓦特,RF偏压为≤2200瓦特,最好为1200-2200瓦特,He背压为5-40乇,最好为7-20乇。工艺气体可以包括10-200sccm CHF3,10-100sccm C2HF5和/或10-100C2F6
如前所述,加工漂移可能导致氧化腐蚀速率、腐蚀轮廓和腐蚀选择性的变化,这种加工漂移是由于连续加工多个基片而在加工室中的热积蓄造成的。已经发现,如果与加工基片的等离子体接触的窗口和/或气体分配板的温度可被保持在阈值温度以下,那么可以显著减小加工漂移。真空加工室10内的其它表面如锥形环30的温度也可以进行温度控制,以便低于阈值温度。
气体分配板22的温控可以使用通常24进行,该通道用于从闭合回路温控器28通过天线18循环流体。该温控器最好监测窗口温度,例如通过一个或多个温度传感器27监测,并控制通过天线18的冷却剂的温度和流量,以便保持窗口低于阈值温度。天线18最好与窗口有良好的热接触,以便在窗口和天线18之间形成充分的热传递。窗口最好由高导热的绝缘材料如氮化铝制成,从而可以增进从天线18通过窗口向气体分配板22的热传递。氮化铝的性质包括热传导率为100w/m-k,密度为3.27gm/cm3,热容量为0.2cal/gm-k,辐射能力为0.75。气体分配板22最好也由导热好的材料如氮化铝制成,但是气体分配板22也可以使用其它绝缘材料,如氧化铝或石英。由于来自等离子体的离子轰击,由气体分配板22接受的热量穿过窗口20,可以通过在天线18中流过冷却流体,增加窗口和气体分配板22之间的气体压力和/或在天线上吹送冷却气体的方式除去。
天线18可以具有各种形状和轮廓,例如,基本呈平面的形状和/或具有一或多个(如3至7)匝的螺旋形状。通道24从冷却流体入口至冷却流体出口最好穿过天线18的所有部分。例如,冷却流体可以从螺旋天线18的外部流向中央,或者从螺旋天线18的中央流向外部。天线可以借助任何适当的技术如铜焊或粘合剂(例如RTV)等结合于窗口上,这可以在天线和窗口之间形成良好的热传递。穿过天线的冷却流体最好是水基或非水基的非导电液体,例如,去离子水或Fluroinert(DoPond生产的一种绝缘流体)。
按照本发明的一个替代实施例,天线可以包括粘合在天线的一个表面如顶面上的冷却管。冷却流体按照与通道24相同的方式流过冷却管。
按照本发明的另一个替代实施例,窗口20和气体分配板22可以制成一个单件。当制成一个单件时,可以使窗口/气体分配板22具有更好的导热性和/或使横过窗口和气体分配板22的热传递更为均匀。在制造单件的窗口/气体分配板时,在生陶瓷绝缘材料上形成适当的气体通道和出口孔,然后烧结而形成一个整体的板。为了防止等离子体在通道和/或孔中撞击,通道和孔的尺寸最好足够小,以避免在流体流动和天线工作过程中形成等离子体的状态。
气体分配板22的温度可以通过控制天线18中的循环流体的温度而得到控制。气体分配板22的温度需要保持在阈值温度以下。例如,在氧化腐蚀的情形中,可以为120℃或更低,更好为90℃或更低,最好为80℃或更低,例如可以通过一个闭合回路冷却系统来控制循环流体的温度。在腐蚀过程中,窗口的温度也可以通过调节气体分配板后面的工艺气体的压力得到控制。另外,也可以通过下述方式调节温度:在工艺中或在晶片输送过程中增设步骤如灰化步骤(ashing siteps),从而形成延迟,使真空室以及窗口/气体分配板22装置可以冷却。
在绝缘窗口处于气体分配板和天线之间的情形中,可以使天线定位,使其位置不重叠气体分配板上的任何出口孔。例如,如果天线包括多匝线圈,气体分配板包括一个中心孔和在距中心孔固定半径的圆上的6个孔,那么,最好使天线定位,因而穿过上述圆的任何匝等距地位于圆上两相邻孔之间。天线的上述定位可尽量减小在与天线最近的孔中引燃等离子体。
用作进入加工室真空环境的窗口的绝缘板承受大约104kg/m2的气压。这就是说,在未受支承直径φ=40cm的一般窗板上向内的力总计约为1200kg,因此需要高强度的材料,否则窗口将会过厚,例如,对于陶瓷来说,5倍的安全系数要求大约2cm厚的φ=40cm的石英窗口。
一般来说,工艺要求可以需要这样的窗口的内表面由化学和/或物理性质兼容的材料如石英构成,因此可以制成粒状窗口材料,或者制成足够厚度某些其它材料的涂层或粘合表面,即复合结构,或者制成在真空中分开支承的一个盖板。窗口的设计必须与前述的结构要求相容,或者,如果采用涂覆或粘合技术,那么,复合结构应容许在生长或粘合过程中的膜的张力作用和不同热应力的可能性,在最后提到的盖板的情形中,可能要求分开的支承结构。另外,工艺要求可能需要室壁的表面可能包括任何绝缘窗口或其上的盖板的内表面的温度受到限制,以及可能要有效地进行控制。
进入加工室的窗口通常用于能量进入或传出加工室。例如,U-V、可见、I-R、微波及RF E-M辐射形式的能量全部借助绝缘窗口传入及传出真空室。如果以这方式传递大量能量,那么,取决于频率(ω)及损失系数(tanδ)而使绝缘窗口变热。即使传送损失热是忽略不计,窗口构成加工室的一个壁,可以通过来自室中的构件和加工材料的辐射、对流和传导过程被加热。例如,在等离子加工中,当使用窗口从TCP(变压器耦合等离子)线圈天线传送能量时,在每平方厘米的窗口内表面上可能消耗几瓦特。如果窗口是用2cm厚的粒状石英材料制成的,这将导致不可避免的摄氏几百度的内表面温升。
石英可用作窗口材料,因为它与许多加工方式相容,并且由于其热膨胀系数低,因而具有良好的抗热冲击性能。但是,它只具有中等的机械性能、导致高温度梯度的低的热传导性,以及当与热膨胀系数显著不同的材料接触时高的差胀应力。具有上述性质组合的材料可以用在按照本发明另一方面的复合窗口中。这种复合窗口可以设有与加工相容的材料如石英,这是由于其内表面和/或窗口可以按照下述方式构制,即,内表面可进行温控,使其温度被动或主动地得到控制。
由于窗口材料的真空相容性、绝缘性、相对加工惰性、机械及热学性质,需要对窗口材料进行选择。例如,氮化铝惰性相当好,具有良好的绝缘性(低的绝缘常数和损耗系数),具有类似于氧化铝的机械性质,包括热膨胀及热容量,但是其导热性大约比氧化铝大五倍,即,比石英的导热性大两个数量级。因此,φ=40cm的类似于上述粒状石英窗口的氮化铝窗口可以<1cm厚,仍可安全地支承真空力。对于所消耗的每瓦特/cm2,这种窗口只支持横过它的大约1℃的温度梯度,将具有相当短的热时间常数(秒钟而不是分钟),因而仍有相当不错抗热冲击性能。另外,通过监测外侧温度和有选择地加热或冷却外侧,可以控制窗口的内部温度,这是由于与例如粒状石英窗口的情形不同,内表面将紧密随其变化。
在某些情形中,氮化铝可能与室内进行的处理不相容。因此,一个较薄的,例如,大约2mm厚的副板,它包括一或多层,其中外露的一层是与加工相容的材料制成的,该副板可被支承,与主窗板的下表面紧邻,但与主窗板偏心。这种板,即使例如用石英制成,当承受相同的热通量时,也将具有横跨其厚度的几十度的温差,而不是2cm厚的石英窗口的情形中的几百度的温差。副板只是一个单一或复合材料的薄盘,这种布置的优点在于,当在加工中损坏或消耗时,它可被容易且廉价地更换。
采用上述布置,副板的外侧可用真空与外窗分隔,即使该板与外窗紧邻,它却并不与主窗板热接触,因而横跨其间的内部空间可形成大的温差。但是,为了减小横跨该内部空间的温降可以采用一个导热接触介质的薄膜。如果该介质是流体或是弹性的,那么,可以避免过大的刚度,因而不会形成大的差胀力。
这种邻近于主窗的副板的机械支承可以按照图6所示布置,因而只有从主窗板44和副板46之间的内部空间42进入室48本身的小的泄漏路径40,如必要,对于使用流体热接触介质的情况,可设置弹性材料边缘密封件50,52。例如,内部空间42可充填惰性热接触介质如真空油脂、硅橡胶薄膜或者最方便地是充注气体。如果使用气体作为热接触介质,那么,该气体最好是压力下的轻惰性气体,由于不用作对流输送,它可以使导热最佳化,取决于间隙尺寸和平均自由路径等因素一般使用大约10乇压力下的He。如果气体作为接触介质,那么布置有一个进口,如需要,也布置一个出口,从而可通过一个适当的气源通道如通道54将内部空间42充注至适当的压力。另外,由于大气压力完全由主窗板44承受,在副板46上没有大气压力,因而副板必须承受的He压力可以比大气压力低两个数量级,或者,如果采用油脂或薄膜作为接触介质,那么,必须采取措施为包含的气体提供通路,并可以减轻热胀力。另外,在副板包括气体分配板的情形中,接触介质可以包括工艺气体,或者向工艺气体加入一种气体。如果需要,在气体分配板上的孔的尺寸可制得使上述内部空间中的压力最佳化,并改善从副板至主窗口的热传递。在窗口和气体分配板之间的内部空间的气体压力可以调节在1-100乇的范围内。
包括主窗板、导热薄膜(半固态或流体)和副板的夹层结构的完整的组件,与能够进行相同的结构功能的,副板材料如石英的实心窗口比较,可以借助显著改善的内、外表面之间的热传导,通过与主窗外侧的接触可对于面对加工室的内表面进行监测和温度控制。外侧温度可以使用接触式或其它标准的测温技术来监测。使用嵌入、接触或辐射加热件和/或在主窗暴露表面上的强制流体(液体或气体),可以实现温度控制。虽然可以采用双板窗口的热学特性知识和最近进展,但是,只从外侧就可以对内表面进行同时的连续直接的温度监测和精确控制。例如,一种技术可以是脉冲反馈控制系统,用来观察在窗口上各个位置上的监测传感器的动态反应,另一种技术可采用插入主窗副板内部空间的传感器。
虽然已针对具体实施例详述了本发明,但是显然本专业技术人员可进行各种修改和变化,以及采用等同物而并不超出本发明的构思和范围。

Claims (24)

1.一种加工基片及控制等离子加工室内表面温度的方法,该方法包括以下步骤:
将基片放置在加工室中的基片座上,其中,构成加工室壁的绝缘件的内表面面对基片座;
通过下述方式加工基片,即,向加工室中送入工艺气体,通过绝缘件向加工室中感应耦合RF能量,从而使工艺气体被赋能而达到等离子状态;
在基片的加工过程中,冷却绝缘件以便将所述内表面保持在阈值温度以下;
在加工室中以下述方式连续加工基片,即,使基片接触等离子气体,同时将所述内表面保持在阈值温度以下。
2.根据权利要求1所述的方法,其特征在于:加工室包括一个呈平面的天线,通过向天线供应RF能源,使工艺气体被赋能而达到等离子状态,冷却步骤是通过与绝缘件或天线接触地通过温控流体进行的。
3.根据权利要求2所述的方法,其特征在于:冷却步骤是通过使水基或非水基的非导电液体穿过天线中的通道进行的。
4.根据权利要求3所述的方法,其特征在于:所述液体借助闭合回路冷却系统流过天线。
5.根据权利要求1所述的方法,其特征在于:所述加工包括腐蚀,所述阈值温度低于或等于90℃。
6.根据权利要求1所述的方法,其特征在于:等离子包括高密度等离子,基片是通过下述方式加工的:向基片施加RF偏压时用高密度等离子腐蚀基片上的氧化物层。
7.根据权利要求2所述的方法,其特征在于:所述绝缘件包括一块气体分配板,使温控流体通过天线,从而将气体分配板的温度保持在阈值温度以下。
8.根据权利要求1所述的方法,其特征在于:所述绝缘件包括一个绝缘窗口,所述加工包括等离子腐蚀每个基片上的被掩膜的层。
9.根据权利要求1所述的方法,其特征在于:所述加工包括等离子腐蚀基片上的被掩膜的层,至少25个基片被连续加工,同时尽可能减小加工漂移。
10.根据权利要求1所述的方法,其特征在于:所述绝缘件包括一个绝缘窗口,该绝缘窗口具有相互隔开一个间隙的第一部分和第二部分,第一部分包括一个暴露于环境压力的外表面,第二部分包括一个内表面,所述冷却步骤通过在所述间隙中提供热传递介质来进行。
11.一种等离子加工室,包括:
一个用在加工室中支承基片的基片座;
一个绝缘件,其内表面面对基片座;
一个向加工室供应工艺气体的气源;
一个RF能源,它通过绝缘件并向加工室中感应耦合RF能量,以便使工艺气体被赋能而达到等离子状态;以及
一个冷却机构,它冷却绝缘件并保持内表面低于阈值温度。
12.根据权利要求11所述的等离子加工室,其特征在于:绝缘件包括一个绝缘窗口或气体分配板。
13.根据权利要求11所述的等离子加工室,其特征在于:绝缘件包括一块气体分配板,其具有多个向加工室内供应工艺气体的通孔,所述气源向气体分配板供气。
14.根据权利要求11所述的等离子加工室,其特征在于:加工室包括一个绝缘窗口,RF能源包括一个邻近该窗口的呈平面的天线,该天线通过该窗口供送RF能源,使加工室中的工艺气体被赋能而达到等离子状态。
15.根据权利要求14所述的等离子加工室,其特征在于:绝缘件包括一块气体分配板,所述窗口在天线和气体分配板之间。
16.根据权利要求15所述的等离子加工室,其特征在于:冷却机构使温控流体与天线或气体分配板热传递接触地通过。
17.根据权利要求11所述的等离子加工室,其特征在于:还包括一闭合回路冷却系统,它向冷却机构供应非导电冷却液体并保持所述内表面低于阈值温度。
18.根据权利要求11所述的等离子加工室,其特征在于:加工室包括一个绝缘窗口,RF能源包括一个邻近该窗口的天线,天线通过该窗口供应RF能源,使加工室中的工艺气体被赋能而达到等离子状态,所述内表面包括窗口内表面,冷却机构包括一个闭合回路温度控制器和一条在天线中的通道,温控流体通过该通道循环。
19.根据权利要求18所述的等离子加工室,其特征在于:所述流体是非水基绝缘液体。
20.根据权利要求11所述的等离子加工室,其特征在于:加工室包括一个绝缘窗口和一个邻近该窗口的天线,天线通过窗口供应RF能源,使加工室中的工艺气体被赋能而达到等离子状态,天线具有平面形状,冷却机构包括在天线中的液流通道。
21.根据权利要求20所述的等离子加工室,其特征在于:绝缘件包括一个邻近所述窗口的气体分配板,该气体分配板包括气体出口,工艺气体通过气体出口进入加工室内,设置天线使气体出口不直接在基片座和天线之间。
22.根据权利要求11所述的等离子加工室,其特征在于:绝缘件包括一个绝缘窗口,该窗口具有相互隔开一个间隙的第一和第二部分,第一部分包括一个暴露于环境压力外表面,第二部分包括所述内表面,所述间隙充满热传递介质。
23.根据权利要求11所述的等离子加工室,其特征在于:绝缘件包括一个绝缘窗口,该窗口具有一致的厚度和平面的形状。
24.根据权利要求11所述的等离子加工室,其特征在于:绝缘件包括一块厚度一致且为平面的形状的气体分配板。
CN97195275A 1996-06-05 1997-06-02 等离子加工室的温控方法及设备 Expired - Fee Related CN1104511C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/658,259 US5863376A (en) 1996-06-05 1996-06-05 Temperature controlling method and apparatus for a plasma processing chamber
US08/658,259 1996-06-05

Publications (2)

Publication Number Publication Date
CN1221460A CN1221460A (zh) 1999-06-30
CN1104511C true CN1104511C (zh) 2003-04-02

Family

ID=24640537

Family Applications (1)

Application Number Title Priority Date Filing Date
CN97195275A Expired - Fee Related CN1104511C (zh) 1996-06-05 1997-06-02 等离子加工室的温控方法及设备

Country Status (9)

Country Link
US (1) US5863376A (zh)
EP (1) EP0910686B1 (zh)
JP (1) JP4166831B2 (zh)
CN (1) CN1104511C (zh)
AT (1) ATE230811T1 (zh)
AU (1) AU3079597A (zh)
DE (1) DE69718321T2 (zh)
IL (1) IL127357A (zh)
WO (1) WO1997046730A1 (zh)

Families Citing this family (170)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6231776B1 (en) 1995-12-04 2001-05-15 Daniel L. Flamm Multi-temperature processing
US5711851A (en) * 1996-07-12 1998-01-27 Micron Technology, Inc. Process for improving the performance of a temperature-sensitive etch process
JP2921499B2 (ja) * 1996-07-30 1999-07-19 日本電気株式会社 プラズマ処理装置
US5993594A (en) 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
US6464843B1 (en) * 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
WO2000041211A1 (en) * 1998-12-30 2000-07-13 Lam Research Corporation Method and apparatus for etch rate stabilization
US6502529B2 (en) 1999-05-27 2003-01-07 Applied Materials Inc. Chamber having improved gas energizer and method
US6916399B1 (en) 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6408786B1 (en) 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6227140B1 (en) 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
WO2001024216A2 (en) * 1999-09-30 2001-04-05 Lam Research Corporation Pretreated gas distribution plate
US6673198B1 (en) 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
JP3379506B2 (ja) * 2000-02-23 2003-02-24 松下電器産業株式会社 プラズマ処理方法及び装置
US6900596B2 (en) 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US20020185226A1 (en) * 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
US7270724B2 (en) 2000-12-13 2007-09-18 Uvtech Systems, Inc. Scanning plasma reactor
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6790242B2 (en) 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US7128804B2 (en) * 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6773683B2 (en) * 2001-01-08 2004-08-10 Uvtech Systems, Inc. Photocatalytic reactor system for treating flue effluents
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
JP3876167B2 (ja) * 2002-02-13 2007-01-31 川崎マイクロエレクトロニクス株式会社 洗浄方法および半導体装置の製造方法
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7569497B2 (en) * 2002-07-30 2009-08-04 Tokyo Electron Limited Method and apparatus for forming insulating layer
KR100468203B1 (ko) * 2002-08-16 2005-01-26 어댑티브프라즈마테크놀로지 주식회사 플라즈마 에칭시스템에 구비된 돔의 온도제어장치 및 그방법
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US20060000551A1 (en) * 2004-06-30 2006-01-05 Saldana Miguel A Methods and apparatus for optimal temperature control in a plasma processing system
US8540843B2 (en) 2004-06-30 2013-09-24 Lam Research Corporation Plasma chamber top piece assembly
US7780791B2 (en) * 2004-06-30 2010-08-24 Lam Research Corporation Apparatus for an optimized plasma chamber top piece
US20060065632A1 (en) * 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US7323116B2 (en) * 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065631A1 (en) * 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7396431B2 (en) * 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
US20060081185A1 (en) * 2004-10-15 2006-04-20 Justin Mauck Thermal management of dielectric components in a plasma discharge device
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US7445726B2 (en) * 2005-09-05 2008-11-04 United Microelectronics Corp. Photoresist trimming process
AT502351A1 (de) * 2005-09-12 2007-03-15 Ziger Peter Anlage zur plasmaprozessierung von endlosmaterial
US20080083732A1 (en) * 2006-10-10 2008-04-10 Sumitomo Electric Industries, Ltd. Wafer holder and exposure apparatus equipped with wafer holder
US8097105B2 (en) * 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US7972471B2 (en) * 2007-06-29 2011-07-05 Lam Research Corporation Inductively coupled dual zone processing chamber with single planar antenna
US8418649B2 (en) * 2007-12-19 2013-04-16 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
WO2009078923A2 (en) * 2007-12-19 2009-06-25 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
CN103258729B (zh) 2007-12-21 2016-07-06 朗姆研究公司 硅结构的制造和带有形貌控制的深硅蚀刻
US20110168673A1 (en) * 2008-07-04 2011-07-14 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and mechanism for regulating temperature of dielectric window
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
KR200475462Y1 (ko) * 2009-03-27 2014-12-03 램 리써치 코포레이션 플라즈마 처리 장치의 교체 가능한 상부 챔버 섹션
KR101287898B1 (ko) * 2009-08-25 2013-07-19 캐논 아네르바 가부시키가이샤 플라즈마 처리 장치 및 디바이스의 제조 방법
KR200479181Y1 (ko) 2009-09-10 2015-12-30 램 리써치 코포레이션 플라즈마 처리 장치의 교체가능한 상부 체임버 부품
US20110278260A1 (en) 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR101208567B1 (ko) 2011-02-08 2012-12-06 엘아이지에이디피 주식회사 기판처리장치의 상부리드
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9978565B2 (en) * 2011-10-07 2018-05-22 Lam Research Corporation Systems for cooling RF heated chamber components
US8904887B2 (en) * 2011-11-17 2014-12-09 The Aerospace Corporation Radio frequency transparent thermal window
CN103515179B (zh) * 2012-06-29 2016-02-10 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体反应室及具有其的等离子体装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140060738A1 (en) * 2012-08-31 2014-03-06 Semes Co., Ltd. Apparatus for treating substrate
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103794457B (zh) * 2012-10-29 2016-08-03 中微半导体设备(上海)有限公司 一种等离子体处理设备及其中的温度隔离装置
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
KR102262657B1 (ko) 2014-10-13 2021-06-08 삼성전자주식회사 플라즈마 처리 장치
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US20180235110A1 (en) * 2017-02-16 2018-08-16 Lam Research Corporation Cooling system for rf power electronics
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10312475B2 (en) * 2017-05-15 2019-06-04 Applied Materials, Inc. CVD thin film stress control method for display application
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102524258B1 (ko) 2018-06-18 2023-04-21 삼성전자주식회사 온도 조절 유닛, 온도 측정 유닛 및 이들을 포함하는 플라즈마 처리 장치
US20190385828A1 (en) * 2018-06-19 2019-12-19 Lam Research Corporation Temperature control systems and methods for removing metal oxide films
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
EP3813092A1 (en) * 2019-10-23 2021-04-28 EMD Corporation Plasma source
KR102387278B1 (ko) * 2020-02-18 2022-04-18 세메스 주식회사 기판 처리 장치

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0489407A2 (en) * 1990-12-03 1992-06-10 Applied Materials, Inc. Plasma reactor using UHF/VHF resonant antenna source, and processes
EP0641013A2 (en) * 1993-08-27 1995-03-01 Applied Materials, Inc. High density plasma CVD and etching reactor
US5405480A (en) * 1992-11-04 1995-04-11 Novellus Systems, Inc. Induction plasma source

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5262029A (en) * 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
GB8905073D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Ion gun
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5021121A (en) * 1990-02-16 1991-06-04 Applied Materials, Inc. Process for RIE etching silicon dioxide
US5304279A (en) * 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5200232A (en) * 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
JP2635267B2 (ja) * 1991-06-27 1997-07-30 アプライド マテリアルズ インコーポレイテッド Rfプラズマ処理装置
US5241245A (en) * 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
JPH06232081A (ja) * 1993-02-08 1994-08-19 Yasuhiro Horiike Icpプラズマ処理装置
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
JPH0773997A (ja) * 1993-06-30 1995-03-17 Kobe Steel Ltd プラズマcvd装置と該装置を用いたcvd処理方法及び該装置内の洗浄方法
US5531834A (en) * 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
JP3050732B2 (ja) * 1993-10-04 2000-06-12 東京エレクトロン株式会社 プラズマ処理装置
GB9321489D0 (en) * 1993-10-19 1993-12-08 Central Research Lab Ltd Plasma processing
JP3267771B2 (ja) * 1993-10-29 2002-03-25 アネルバ株式会社 プラズマ処理装置
TW293983B (zh) * 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
JP3204836B2 (ja) * 1994-03-25 2001-09-04 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
JPH07254498A (ja) * 1994-03-16 1995-10-03 Fujitsu Ltd 高周波放電装置
US5580385A (en) * 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
EP0756309A1 (en) * 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0489407A2 (en) * 1990-12-03 1992-06-10 Applied Materials, Inc. Plasma reactor using UHF/VHF resonant antenna source, and processes
US5405480A (en) * 1992-11-04 1995-04-11 Novellus Systems, Inc. Induction plasma source
EP0641013A2 (en) * 1993-08-27 1995-03-01 Applied Materials, Inc. High density plasma CVD and etching reactor

Also Published As

Publication number Publication date
EP0910686A1 (en) 1999-04-28
EP0910686B1 (en) 2003-01-08
AU3079597A (en) 1998-01-05
IL127357A0 (en) 1999-10-28
DE69718321T2 (de) 2003-10-16
CN1221460A (zh) 1999-06-30
JP2000511701A (ja) 2000-09-05
WO1997046730A1 (en) 1997-12-11
JP4166831B2 (ja) 2008-10-15
DE69718321D1 (de) 2003-02-13
ATE230811T1 (de) 2003-01-15
US5863376A (en) 1999-01-26
IL127357A (en) 2001-03-19

Similar Documents

Publication Publication Date Title
CN1104511C (zh) 等离子加工室的温控方法及设备
CN100440422C (zh) 具有动态温度控制的基片支架
JP3129419U (ja) 基板の温度を制御する装置
JP4299896B2 (ja) プラズマリアクタ
US9824904B2 (en) Method and apparatus for controlling spatial temperature distribution
KR102383357B1 (ko) 배치대 및 기판 처리 장치
CN100565787C (zh) 具有温度受控的表面的基片支架
US6558508B1 (en) Processing apparatus having dielectric plates linked together by electrostatic force
KR100458424B1 (ko) 플라즈마 처리장치
US20060291132A1 (en) Electrostatic chuck, wafer processing apparatus and plasma processing method
JPH10149899A (ja) 円錐形ドームを有する誘電結合平行平板型プラズマリアクター
KR20140006037U (ko) 플라즈마 처리 장치의 교체가능한 상부 체임버 부품
US11373893B2 (en) Cryogenic electrostatic chuck
JP2000216140A (ja) ウエハステ―ジおよびウエハ処理装置
CN110120329A (zh) 等离子体处理装置
CN111357075A (zh) 受等离子体加热的窗的多区域冷却
TW202027216A (zh) 低溫靜電吸盤
US6508062B2 (en) Thermal exchanger for a wafer chuck
KR102650167B1 (ko) 정전 척 및 그를 포함하는 플라즈마 처리 장치
US20210005424A1 (en) Shower head unit and system for treating substrate with the shower head unit
KR102163381B1 (ko) 폴리머 관리를 통한 에칭 시스템의 생산성 개선
KR100493903B1 (ko) 플라즈마처리챔버용온도제어방법및장치
TW202303837A (zh) 低溫微區靜電卡盤連接器組件
KR20070000225U (ko) 기판의 온도를 제어하기 위한 장치
KR20080082274A (ko) 플라즈마 식각 장치 및 이를 포함하는 기판 처리 시스템

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20030402

Termination date: 20160602