CN110416076A - 一种改善金属线路断裂的方法及器件 - Google Patents

一种改善金属线路断裂的方法及器件 Download PDF

Info

Publication number
CN110416076A
CN110416076A CN201910484293.8A CN201910484293A CN110416076A CN 110416076 A CN110416076 A CN 110416076A CN 201910484293 A CN201910484293 A CN 201910484293A CN 110416076 A CN110416076 A CN 110416076A
Authority
CN
China
Prior art keywords
dielectric layer
layer film
positive photoresist
etching
metallic circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201910484293.8A
Other languages
English (en)
Other versions
CN110416076B (zh
Inventor
徐智文
魏育才
张永宏
蔡松智
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
UniCompound Semiconductor Corp
Original Assignee
UniCompound Semiconductor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by UniCompound Semiconductor Corp filed Critical UniCompound Semiconductor Corp
Priority to CN201910484293.8A priority Critical patent/CN110416076B/zh
Publication of CN110416076A publication Critical patent/CN110416076A/zh
Application granted granted Critical
Publication of CN110416076B publication Critical patent/CN110416076B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • H01L21/481Insulating layers on insulating parts, with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts

Abstract

本发明公开一种改善金属线路断裂的方法及器件,其中方法包括如下步骤:对衬底进行介电层薄膜气相沉积;在介电层薄膜上进行正性光刻胶覆盖,并进行正性光刻胶曝光显影使得正性光刻胶的开口宽度由外向内收缩;采用等离子刻蚀对介电层薄膜刻蚀,刻蚀介电层薄膜和正性光刻胶,使得介电层薄膜的开口宽度由外向内收缩;去除正性光刻胶;在介电层薄膜上进行负性光刻胶覆盖、曝光和显影;进行金属镀膜和金属剥离。本方案采用侧壁倾斜的正性光刻胶,并使用等离子刻蚀对介电层薄膜进行刻蚀,从而使得介电层薄膜侧壁与底面的夹角为锐角,避免了后续金属沉积时发生金属断裂的情况。

Description

一种改善金属线路断裂的方法及器件
技术领域
本发明涉及领域,尤其涉及一种改善金属线路断裂的方法及器件。
背景技术
氮化硅是一种优秀的半导体介电材料,在半导体生产工艺中常被用作绝缘层、表面钝化层、最后保护膜和结构功能层,氮化硅刻蚀工艺有以磷酸为主湿法刻蚀和以氟基为主的干法刻蚀两种,干法刻蚀更加适用于半导体芯片尺寸较小,图形更细微的半导体工艺。现有技术通过正性光刻胶作为掩膜,利用氟碳气体对介电层刻蚀,刻蚀工艺为等离子刻蚀,再利用负性光刻胶作为掩膜进行金属镀膜,最后剥离金属。
现有的氮化硅工艺具有如下缺点:
缺点1:如图1所示,光刻胶曝光显影后的形貌过于垂直,使后续刻蚀氮化硅介电层工艺也会呈现垂直甚至钝角形貌,造成金属线路内部断裂,虽然可通过优化刻蚀工艺改变形貌,但光刻胶需在等离子刻蚀设备内再次调整侧壁角度,增加工艺的参数复杂程度。
缺点2:如图2所示,目前刻蚀方式为等离子刻蚀(PE刻蚀),由于等离子刻蚀(PE刻蚀)存在各向同性倾向,与湿法刻蚀的化学反应类似,所以在控制氮化硅介电层形貌上存在一定的局限性,因此通过碳氟比较低的C2F6气体形成侧壁保护,阻止各向同性倾向,但同时也会使氮化硅薄膜介电层在干刻蚀完形貌过于垂直或形成钝角,不利于后续金属镀膜。
缺点3:如图3所示,氮化硅介电层内侧壁与底部的夹角θ为钝角及直角时,使周围电场集中于金属转角处,造成击穿电压降低,这会减少器件的可工作范围。
缺点4:由于介电层高低差的原因,氮化硅侧壁上面的θ为钝角及直角时可能使后续金属镀膜于侧壁产生断层现象,如图4所示,造成断路、电阻增加、局部温度较高、RC延迟等问题,导致产品良率降低。
缺点5:大多介电层选择将夹角θ制作成90°,简化了刻蚀工艺复杂度,选择通过增加金属线路层厚度来改善金属断层问题,但效果依然不理想,也同时增加了金属成本及镀膜制程时间。
发明内容
为此,需要提供一种改善金属线路断裂的方法及器件,解决现有在介电层进行金属沉积时金属线路容易发生断裂的问题。
为实现上述目的,发明人提供了一种改善金属线路断裂的方法,包括如下步骤:
对衬底进行介电层薄膜气相沉积;
在介电层薄膜上进行正性光刻胶覆盖,并进行正性光刻胶曝光显影使得正性光刻胶的开口宽度由外向内收缩;
采用等离子刻蚀对介电层薄膜刻蚀,刻蚀介电层薄膜和正性光刻胶,使得介电层薄膜的开口宽度由外向内收缩;
去除正性光刻胶;
在介电层薄膜上进行负性光刻胶覆盖、曝光和显影;
进行金属镀膜和金属剥离。
进一步地,介电层薄膜沉积时沉积厚度为薄膜应力为-600Mpa到-300Mpa。
进一步地,所述正性光刻胶的厚度在以上。
进一步地,所述正性光刻胶曝光时曝光机曝光能量在500eV到600eV之间,曝光焦距为大于0小于等于2.0um。
进一步地,采用等离子刻蚀对介电层薄膜刻蚀时,刻蚀气体为含氟气体与氧气的混合气体。
进一步地,刻蚀气体中含氟气体与氧气的混合比例为0.25:1到4:1。
进一步地,所述含氟气体为四氟化碳或六氟化硫。
进一步地,采用等离子刻蚀对介电层薄膜刻蚀时,刻蚀机的source RF为100W到300W之间,Platen RF为50W到150W之间,腔体压力为2mT到50mT之间,刻蚀气体总流量为50sccm到150sccm。
进一步地,所述介电层薄膜为氮化硅薄膜。
本发明提供一种半导体器件,所述半导体器件由上述任意一项的方法制得。
区别于现有技术,上述技术方案采用侧壁倾斜的正性光刻胶,并使用等离子刻蚀对介电层薄膜进行刻蚀,从而使得介电层薄膜侧壁与底面的夹角为锐角,避免了后续金属沉积时发生金属断裂的情况。
附图说明
图1为背景技术所述的光刻胶曝光显影完剖面示意图;
图2为背景技术所述的氮化硅刻蚀完剖面示意图;
图3为背景技术所述的器件欧姆接触示意图;
图4为背景技术所述的器件在金属镀膜后出现断层现象的扫描图;
图5为本发明的工艺流程图;
图6本发明的曝光显影完剖面示意图;
图7为PE刻蚀设备简图;
图8为ICP刻蚀机设备简图;
图9为刻蚀完后的剖面示意图;
图10为器件金属镀膜改善前后对比示意图。
具体实施方式
为详细说明技术方案的技术内容、构造特征、所实现目的及效果,以下结合具体实施例并配合附图详予说明。
请参阅图5到图10,本实施例提供一种改善金属线路断裂的方法,工艺流程如图5所示,包括如下步骤:对衬底进行介电层薄膜气相沉积,衬底为半导体器件的衬底,介电层可以是氮化硅或者碳化硅等介电层,本发明以氮化硅薄膜进行说明。在介电层薄膜上进行正性光刻胶覆盖,并进行正性光刻胶曝光显影使得正性光刻胶的开口宽度由外向内收缩;如图5和图6所示,开口内显影后没有正性光刻胶,两侧具有正性光刻胶。显影后的正性光刻胶形成掩膜,而后采用等离子刻蚀对介电层薄膜刻蚀,等离子刻蚀的时候会对介电层薄膜和正性光刻胶进行刻蚀,从图5和图9可以看出,正性光刻胶的开口变宽,厚度变薄。介电层薄膜在被刻蚀的时候,在正性光刻胶开口处首先会被刻蚀,而后由于正性光刻胶的遮挡,使得介电层薄膜的开口两侧的刻蚀会被延缓。随着正性光刻胶的侧壁被刻蚀,使得介电层薄膜的开口两侧会被刻蚀,正性光刻胶厚的地方,介电层薄膜刻蚀较少,正性光刻胶薄的地方,介电层薄膜刻蚀较多,使得介电层薄膜的开口宽度由外向内收缩,如图9所示。而后进行去除正性光刻胶;可以采用干法或者湿法去除。
而后在介电层薄膜上进行负性光刻胶覆盖、曝光和显影;在金属镀膜机进行金属镀膜和在金属剥离机进行金属剥离,从而形成如图5所示最后的器件结构。这样介电层薄膜侧壁与底面的夹角为锐角,而后金属沉积时,其侧壁倾斜,提高金属阶梯覆盖率,避免了后续金属沉积时发生金属断裂的情况。如图10所示,左侧为改善前金属镀膜于介电层侧壁产生断层现象,右侧为改善后金属镀膜于介电层侧壁覆盖良好。以及介电层薄膜底部θ为锐角可缓解电场集中现象并提升击穿电压,增加器件工作范围,降低电阻、减少功耗,减少RC延迟效应及局部升温造成良率与可靠度降低。锐角形貌的氮化硅介电层可有效解决金属断线问题,工艺无需增加金属镀膜厚度。可减少镀膜制程时间,节约成本。
优选的实施例中,介电层薄膜沉积时沉积厚度为薄膜应力为-600Mpa到-300Mpa。为了避免正性光刻胶被全部刻蚀掉,所述正性光刻胶的厚度在以上。
为了实现正性光刻胶的侧壁与底部夹角为锐角,所述正性光刻胶曝光时曝光机曝光能量在500eV到600eV之间,曝光焦距为大于0小于等于2.0um。这样利用步进式光刻机中焦距及能量强度的搭配,使光刻胶显影后的图案侧壁底部角度为锐角,从而获得具有一定倾斜角度的光刻胶形貌,有利于后续介电层斜角刻蚀,如图6所示。
为了实现对介电层薄膜和正性光刻胶的刻蚀,在采用等离子刻蚀对介电层薄膜刻蚀时,刻蚀气体为含氟气体与氧气的混合气体,其中利用氧气可刻蚀光刻胶。进一步地,刻蚀气体中含氟气体与氧气的混合比例为0.25:1到4:1。选用氟碳比例更高的气体(如CF4)或SF6与氧气混合刻蚀,利用氧气可刻蚀光刻胶的特性和高氟碳比减少侧壁保护的特性,形成倾斜角度的刻蚀形貌,通过改变刻蚀气体中氧气的相对比例即可获得不同倾斜角的介电层形貌,如图9所示介电层氮化硅底部θ角为锐角。
在进行介电层薄膜刻蚀的时候,刻蚀机台选用ICP(等离子)刻蚀代替原先工艺的PE刻蚀,避免PE刻蚀缺陷,刻蚀过程中可通过source RF和platenRF控制气体解离的粒子浓度和刻蚀方向性,从而更加容易获得理想刻蚀形貌,PE刻蚀设备和ICP刻蚀设备如图7和图8所示。采用等离子刻蚀对介电层薄膜刻蚀时,刻蚀机的source RF为100W到300W之间,Platen RF为50W到150W之间,腔体压力为2mT到50mT之间,刻蚀气体总流量为50sccm到150sccm。
本发明提供一种半导体器件,所述半导体器件由上述任意一项的方法制得。本发明的半导体器件其介电层薄膜侧壁与底面的夹角为锐角,后续金属沉积时形成倾斜的金属侧壁,避免了发生金属断裂的情况。
需要说明的是,尽管在本文中已经对上述各实施例进行了描述,但并非因此限制本发明的专利保护范围。因此,基于本发明的创新理念,对本文所述实施例进行的变更和修改,或利用本发明说明书及附图内容所作的等效结构或等效流程变换,直接或间接地将以上技术方案运用在其他相关的技术领域,均包括在本发明的专利保护范围之内。

Claims (10)

1.一种改善金属线路断裂的方法,其特征在于,包括如下步骤:
对衬底进行介电层薄膜气相沉积;
在介电层薄膜上进行正性光刻胶覆盖,并进行正性光刻胶曝光显影使得正性光刻胶的开口宽度由外向内收缩;
采用等离子刻蚀对介电层薄膜刻蚀,刻蚀介电层薄膜和正性光刻胶,使得介电层薄膜的开口宽度由外向内收缩;
去除正性光刻胶;
在介电层薄膜上进行负性光刻胶覆盖、曝光和显影;
进行金属镀膜和金属剥离。
2.根据权利要求1所述的一种改善金属线路断裂的方法,其特征在于:介电层薄膜沉积时沉积厚度为薄膜应力为-600Mpa到-300Mpa。
3.根据权利要求1所述的一种改善金属线路断裂的方法,其特征在于:所述正性光刻胶的厚度在以上。
4.根据权利要求1所述的一种改善金属线路断裂的方法,其特征在于:所述正性光刻胶曝光时曝光机曝光能量在500eV到600eV之间,曝光焦距为大于0小于等于2.0um。
5.根据权利要求1所述的一种改善金属线路断裂的方法,其特征在于:采用等离子刻蚀对介电层薄膜刻蚀时,刻蚀气体为含氟气体与氧气的混合气体。
6.根据权利要求5所述的一种改善金属线路断裂的方法,其特征在于:刻蚀气体中含氟气体与氧气的混合比例为0.25:1到4:1。
7.根据权利要求5所述的一种改善金属线路断裂的方法,其特征在于:所述含氟气体为四氟化碳或六氟化硫。
8.根据权利要求1所述的一种改善金属线路断裂的方法,其特征在于:采用等离子刻蚀对介电层薄膜刻蚀时,刻蚀机的source RF为100W到300W之间,Platen RF为50W到150W之间,腔体压力为2mT到50mT之间,刻蚀气体总流量为50sccm到150sccm。
9.根据权利要求1到8任意一项所述的一种改善金属线路断裂的方法,其特征在于:所述介电层薄膜为氮化硅薄膜。
10.一种半导体器件,其特征在于:所述半导体器件由权利要求1到9任意一项的方法制得。
CN201910484293.8A 2019-06-05 2019-06-05 一种改善金属线路断裂的方法及器件 Active CN110416076B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201910484293.8A CN110416076B (zh) 2019-06-05 2019-06-05 一种改善金属线路断裂的方法及器件

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201910484293.8A CN110416076B (zh) 2019-06-05 2019-06-05 一种改善金属线路断裂的方法及器件

Publications (2)

Publication Number Publication Date
CN110416076A true CN110416076A (zh) 2019-11-05
CN110416076B CN110416076B (zh) 2021-11-12

Family

ID=68358932

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910484293.8A Active CN110416076B (zh) 2019-06-05 2019-06-05 一种改善金属线路断裂的方法及器件

Country Status (1)

Country Link
CN (1) CN110416076B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112670819A (zh) * 2020-12-03 2021-04-16 华芯半导体科技有限公司 基于Nitride斜坡刻蚀的VCSEL芯片及其制备方法
CN113448187A (zh) * 2021-08-31 2021-09-28 中熵科技(徐州)有限公司 一种复合半导体薄膜材料光刻胶去除装置
CN113990805A (zh) * 2021-10-27 2022-01-28 扬州国宇电子有限公司 一种pin射频器件制备方法
CN116364827A (zh) * 2023-05-29 2023-06-30 江西兆驰半导体有限公司 一种mini LED及其制备方法

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5933832A (ja) * 1982-08-20 1984-02-23 Toshiba Corp 半導体装置の製造方法
JPH06163482A (ja) * 1992-11-20 1994-06-10 Sumitomo Metal Ind Ltd 半導体装置のコンタクトホール形成方法
DE69734947T2 (de) * 1996-02-29 2006-08-24 Tokyo Ohka Kogyo Co., Ltd., Kawasaki Verfahren zur Herstellung von mehrschichtigen Leiterplatten
CN1873946A (zh) * 2006-06-20 2006-12-06 友达光电股份有限公司 形成显示装置的接触孔的方法及显示装置基板
US20090115066A1 (en) * 2007-11-05 2009-05-07 Samsung Electronics Co., Ltd. Metal wiring layer and method of fabricating the same
CN102024696A (zh) * 2009-09-11 2011-04-20 中芯国际集成电路制造(上海)有限公司 开口及其形成方法
CN102054755A (zh) * 2009-11-10 2011-05-11 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
CN102110642A (zh) * 2010-12-09 2011-06-29 中国电子科技集团公司第二十四研究所 提高台阶金属覆盖率的通孔刻蚀方法
CN102194738A (zh) * 2010-03-15 2011-09-21 中芯国际集成电路制造(上海)有限公司 制作接触孔的方法
CN103177957A (zh) * 2011-12-21 2013-06-26 上海华虹Nec电子有限公司 避免金属尖角的方法
CN103258719A (zh) * 2007-10-30 2013-08-21 Wj通信公司 使蚀刻底切最小化及提供清洁金属剥离的方法
WO2014156489A1 (ja) * 2013-03-26 2014-10-02 株式会社カネカ 導電性フィルム基板、透明導電性フィルムおよびその製造方法、ならびにタッチパネル
CN108538859A (zh) * 2018-04-24 2018-09-14 深圳市华星光电技术有限公司 阵列基板的制作方法
CN108899756A (zh) * 2018-06-06 2018-11-27 青岛海信宽带多媒体技术有限公司 金属电极的沉积方法
CN109243971A (zh) * 2018-09-07 2019-01-18 成都海威华芯科技有限公司 一种半导体器件介质膜低角度蚀刻方法
CN109494186A (zh) * 2018-11-22 2019-03-19 上海华力集成电路制造有限公司 利于填充的重新布线通孔锥形形貌的制作方法

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5933832A (ja) * 1982-08-20 1984-02-23 Toshiba Corp 半導体装置の製造方法
JPH06163482A (ja) * 1992-11-20 1994-06-10 Sumitomo Metal Ind Ltd 半導体装置のコンタクトホール形成方法
DE69734947T2 (de) * 1996-02-29 2006-08-24 Tokyo Ohka Kogyo Co., Ltd., Kawasaki Verfahren zur Herstellung von mehrschichtigen Leiterplatten
CN1873946A (zh) * 2006-06-20 2006-12-06 友达光电股份有限公司 形成显示装置的接触孔的方法及显示装置基板
CN103258719A (zh) * 2007-10-30 2013-08-21 Wj通信公司 使蚀刻底切最小化及提供清洁金属剥离的方法
US20090115066A1 (en) * 2007-11-05 2009-05-07 Samsung Electronics Co., Ltd. Metal wiring layer and method of fabricating the same
CN102024696A (zh) * 2009-09-11 2011-04-20 中芯国际集成电路制造(上海)有限公司 开口及其形成方法
CN102054755A (zh) * 2009-11-10 2011-05-11 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
CN102194738A (zh) * 2010-03-15 2011-09-21 中芯国际集成电路制造(上海)有限公司 制作接触孔的方法
CN102110642A (zh) * 2010-12-09 2011-06-29 中国电子科技集团公司第二十四研究所 提高台阶金属覆盖率的通孔刻蚀方法
CN103177957A (zh) * 2011-12-21 2013-06-26 上海华虹Nec电子有限公司 避免金属尖角的方法
WO2014156489A1 (ja) * 2013-03-26 2014-10-02 株式会社カネカ 導電性フィルム基板、透明導電性フィルムおよびその製造方法、ならびにタッチパネル
CN108538859A (zh) * 2018-04-24 2018-09-14 深圳市华星光电技术有限公司 阵列基板的制作方法
CN108899756A (zh) * 2018-06-06 2018-11-27 青岛海信宽带多媒体技术有限公司 金属电极的沉积方法
CN109243971A (zh) * 2018-09-07 2019-01-18 成都海威华芯科技有限公司 一种半导体器件介质膜低角度蚀刻方法
CN109494186A (zh) * 2018-11-22 2019-03-19 上海华力集成电路制造有限公司 利于填充的重新布线通孔锥形形貌的制作方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
封国强等: "一种低成本的硅垂直互连技术 ", 《半导体技术》 *

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112670819A (zh) * 2020-12-03 2021-04-16 华芯半导体科技有限公司 基于Nitride斜坡刻蚀的VCSEL芯片及其制备方法
CN112670819B (zh) * 2020-12-03 2022-04-01 华芯半导体研究院(北京)有限公司 基于Nitride斜坡刻蚀的VCSEL芯片及其制备方法
CN113448187A (zh) * 2021-08-31 2021-09-28 中熵科技(徐州)有限公司 一种复合半导体薄膜材料光刻胶去除装置
CN113448187B (zh) * 2021-08-31 2021-11-23 中熵科技(徐州)有限公司 一种复合半导体薄膜材料光刻胶去除装置
CN113990805A (zh) * 2021-10-27 2022-01-28 扬州国宇电子有限公司 一种pin射频器件制备方法
CN116364827A (zh) * 2023-05-29 2023-06-30 江西兆驰半导体有限公司 一种mini LED及其制备方法
CN116364827B (zh) * 2023-05-29 2023-08-29 江西兆驰半导体有限公司 一种mini LED及其制备方法

Also Published As

Publication number Publication date
CN110416076B (zh) 2021-11-12

Similar Documents

Publication Publication Date Title
CN110416076A (zh) 一种改善金属线路断裂的方法及器件
US7271107B2 (en) Reduction of feature critical dimensions using multiple masks
US20060205220A1 (en) Stabilized photoresist structure for etching process
TWI525700B (zh) Plasma etching method, a plasma etching apparatus and a computer storage medium
TWI416609B (zh) 電漿處理系統之用於將遮罩底切及凹口減至最少的方法
CN107644812B (zh) 基片刻蚀方法
EP1042796A1 (en) Improved techniques for etching an oxide layer
US7635649B2 (en) Method for manufacturing semiconductor device
US10361092B1 (en) Etching features using metal passivation
KR0179792B1 (ko) 고밀도 플라즈마 식각장비를 이용한 슬로프 콘택 홀 형성방법
JP2007194284A (ja) プラズマ処理方法、プラズマ処理装置、及び記憶媒体
US10541141B2 (en) Method for selectively etching with reduced aspect ratio dependence
JP2004111779A (ja) 有機系絶縁膜のエッチング方法及び半導体装置の製造方法
US11619773B2 (en) Method of manufacturing metal wire and metal wire grid, wire grid polarizer, electronic device
KR100503814B1 (ko) 반도체 소자의 게이트 형성 방법
US20160379844A1 (en) Techniques and apparatus for anisotropic metal etching
KR0166205B1 (ko) 반도체장치의 폴리사이드 게이트 형성방법
CN105336602A (zh) 控制多晶硅刻蚀侧壁角度的方法
CN109997212B (zh) 在有机层蚀刻中生成竖直轮廓的方法
JP4399310B2 (ja) ドライエッチング方法並びにマイクロレンズアレイ及びその作製方法
JP5171091B2 (ja) プラズマ処理方法
KR102542167B1 (ko) 에칭 방법 및 플라즈마 처리 장치
KR100672152B1 (ko) 플래쉬 메모리소자의 제조방법
CN107785253B (zh) 利用侧边溅射的线边缘粗糙表面改进
KR100790241B1 (ko) 비이오엘 공정용 웨이퍼 에지의 베벨식각방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant