CN109801913B - 半导体器件 - Google Patents

半导体器件 Download PDF

Info

Publication number
CN109801913B
CN109801913B CN201811374372.5A CN201811374372A CN109801913B CN 109801913 B CN109801913 B CN 109801913B CN 201811374372 A CN201811374372 A CN 201811374372A CN 109801913 B CN109801913 B CN 109801913B
Authority
CN
China
Prior art keywords
gate structure
channels
substrate
length
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201811374372.5A
Other languages
English (en)
Other versions
CN109801913A (zh
Inventor
梁正吉
朴范琎
宋升珉
裵金钟
裵东一
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN109801913A publication Critical patent/CN109801913A/zh
Application granted granted Critical
Publication of CN109801913B publication Critical patent/CN109801913B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本公开提供了半导体器件。一种半导体器件包括多个沟道、栅极结构和源极/漏极层。多个沟道分别设置在多个水平面处,并在衬底的上表面上在垂直方向上彼此间隔开。栅极结构设置在衬底上,至少部分地围绕每个沟道的表面,并在基本上平行于衬底的上表面的第一方向上延伸。源极/漏极层设置在栅极结构的在第二方向上的相反两侧的每个处并且连接到沟道的侧壁,该第二方向基本上平行于衬底的上表面并基本上垂直于第一方向。栅极结构在第二方向上的长度在从衬底的上表面起在垂直方向上的第一高度处沿着第一方向变化。

Description

半导体器件
技术领域
本发明构思的示例实施方式涉及半导体器件,更具体地,涉及具有垂直堆叠的沟道的半导体器件。
背景技术
与传统的平面金属氧化物半导体场效应晶体管(MOSFET)不同的多桥沟道金属氧化物半导体场效应晶体管(MBCFET)可以包括垂直堆叠的多个沟道,栅极结构围绕所述沟道。通常,MBCFET可以具有比传统平面MOSFET更大的电流驱动能力、更好的亚阈值摆幅和更大的开关状态电流比。在MBCFET中,栅极结构的侧壁可以被间隔物覆盖,并且MBCFET的特性可以根据栅极结构的长度或间隔物的宽度而变化。
发明内容
示例实施方式提供一种具有良好特性的半导体器件。
根据本发明构思的一示例实施方式,提供一种半导体器件,该半导体器件包括多个沟道、栅极结构和源极/漏极层。该多个沟道可以分别设置在多个水平面处,并可以在衬底的上表面上在垂直方向上彼此间隔开。栅极结构可以设置在衬底上,可以至少部分地围绕每个沟道的表面,并可以在基本上平行于衬底的上表面的第一方向上延伸。源极/漏极层可以设置在栅极结构的在第二方向上的相反两侧的每个处并可以连接到沟道的侧壁,该第二方向基本上平行于衬底的上表面并基本上垂直于第一方向。栅极结构在第二方向上的长度可以在从衬底的上表面起在垂直方向上的第一高度处沿着第一方向改变。
根据本发明构思的一示例实施方式,提供一种半导体器件,该半导体器件包括多个沟道、栅极结构、第一间隔物和第二间隔物以及源极/漏极层。该多个沟道可以分别设置在多个水平面处,并可以在衬底的上表面上在垂直方向上彼此间隔开。栅极结构可以设置在衬底上,可以至少部分地围绕每个沟道的表面,并可以在基本上平行于衬底的上表面的第一方向上延伸。栅极结构可以包括:上部,设置在该多个沟道中的最上面的一个上并在垂直方向上与沟道重叠;和下部,设置在该多个沟道之间以及在衬底和该多个沟道中的最下面的一个之间,并在垂直方向上与沟道重叠。第一间隔物可以设置在栅极结构的上部的在第二方向上的两个相反的侧壁中的每个上,该第二方向基本上平行于衬底的上表面并基本上垂直于第一方向。第二间隔物可以设置在栅极结构的下部的在第二方向上的两个相反的侧壁中的每个上,并可以具有朝向栅极结构的下部在第二方向上的中心部分凸出的马蹄形状。源极/漏极层可以设置在栅极结构的在第二方向上的相反两侧的每个处,并可以连接到沟道。栅极结构的上部在第二方向上的长度可以大于栅极结构的下部在第二方向上的长度的最小值。
根据本发明构思的一示例实施方式,提供一种半导体器件,该半导体器件包括多个沟道、栅极结构和源极/漏极层。该多个沟道可以分别设置在多个水平面处,并可以在衬底的上表面上在垂直方向上彼此间隔开。栅极结构可以设置在衬底上,可以至少部分地围绕每个沟道的表面,并可以在基本上平行于衬底的上表面的第一方向上延伸。源极/漏极层可以设置在栅极结构的在第二方向上的相反两侧的每个处并可以连接到沟道的侧壁,该第二方向基本上平行于衬底的上表面并基本上垂直于第一方向。栅极结构的在垂直方向上不与沟道重叠但是与其靠近的第一部分在第二方向上的长度可以从第一高度朝向衬底的上表面增大,该第一高度可以是从衬底的上表面起的该多个沟道中的最上面的一个的上表面的高度。
在根据本发明构思的示例实施方式的MBCFET中,可以减小围绕垂直堆叠的沟道的栅极结构与源极/漏极层之间的寄生电容。
附图说明
从以下结合附图的详细描述,本发明构思的示例实施方式将被更清楚地理解,附图中:
图1至图5是示出根据本发明构思的一示例实施方式的半导体器件的平面图和截面图;
图6至图21是示出根据本发明构思的一示例实施方式的制造半导体器件的方法的平面图和截面图;
图22至图25是示出根据本发明构思的一示例实施方式的半导体器件的平面图和截面图;以及
图26至图38是示出根据本发明构思的示例实施方式的制造半导体器件的方法的平面图和截面图。
由于图1至图38中的附图旨在用于说明的目的,所以附图中的元件不一定按比例绘制。例如,为了清楚的目的,可以放大或夸大一些元件。
具体实施方式
图1至图5是示出根据本发明构思的一示例实施方式的半导体器件的平面图和截面图。图1是平面图,图2至图5是截面图。图2、图3和图5是分别沿着图1的线A-A'、B-B'和C-C'截取的截面图。图4是图3的区域X的放大截面图。
在下文,基本上平行于衬底100的上表面且彼此交叉的两个方向可以分别被称为第一方向和第二方向,并且基本上垂直于衬底100的上表面的方向可以被称为第三方向。在本发明构思的示例实施方式中,第一方向和第二方向可以基本上彼此垂直。
参照图1至图5,半导体器件可以包括在衬底100上的半导体图案124、栅极结构310、外延层240以及第一间隔物185和第二间隔物210。半导体器件还可以包括有源区105、隔离图案130和绝缘层250。
衬底100可以包括IV族半导体材料(例如硅(Si)、锗(Ge)、硅锗(SiGe)等)或III-V族化合物半导体(例如磷化镓(GaP)、砷化镓(GaAs)、锑化镓(GaSb)等)。在本发明构思的一示例实施方式中,衬底100可以是绝缘体上硅(SOI)衬底、或绝缘体上锗(GOI)衬底。
有源区105可以在第三方向上从衬底100突出,并可以在第一方向上延伸。在附图中,示出两个有源区105,然而本发明构思可以不限于此。例如,多于两个的有源区105可以在第二方向上彼此间隔开。有源区105可以通过部分地去除衬底100的上部来形成,因此可以包括与衬底100的材料基本上相同的材料。例如,在衬底100的上部被部分地去除之后,衬底100的保留在上部处的部分可以被定义为有源区105。此外,有源区105可以包括导电区域,例如掺杂有杂质的阱以及掺杂有杂质的结构。
有源区105的侧壁可以被隔离图案130覆盖。隔离图案130可以包括氧化物,例如硅氧化物(SiO2)。隔离图案130可以限定有源区105。例如,有源区105和隔离图案130可以在第二方向上交替地布置。
多个半导体图案124可以分别形成在多个水平面处,以从有源区105的上表面起在第三方向上彼此间隔开。在附图中,半导体图案124被示出为分别在三个水平面处,然而本发明构思可以不限于此。例如,半导体图案124可以形成在两个水平面或多于三个的水平面处。
在附图中,在第一方向上延伸的有源区105上的每个水平面处仅示出在第一方向上彼此间隔开的两个半导体图案124,然而本发明构思可以不限于此。例如,多于两个的半导体图案124可以形成为在有源区105上的每个水平面处在第一方向上彼此间隔开。
在本发明构思的一示例实施方式中,半导体图案124可以是包括半导体材料(例如硅(Si)、锗(Ge)等)的纳米片或纳米线。或者,半导体图案124可以包括化合物半导体,并可以包括例如IV-IV族化合物半导体或III-V族化合物半导体。半导体图案124可以包括与有源区105的材料基本上相同的材料,或者可以包括与有源区105的材料不同的材料。在本发明构思的一示例实施方式中,半导体图案124可以用作晶体管的沟道,其可以被称为沟道。因此,半导体器件可以包括在衬底100的上表面上在垂直方向(第三方向)上彼此间隔开且分别在多个水平面处的作为沟道的半导体图案124。
外延层240可以从有源区105的上表面在第三方向上延伸,并可以公共地接触所述多个水平面处的半导体图案124的相应侧壁以与其连接。外延层240可以接触第一间隔物185的外侧壁的下部和第二间隔物210的外侧壁。在本发明构思的一示例实施方式中,气隙230可以形成在外延层240和第二间隔物210之间。
在本发明构思的一示例实施方式中,外延层240可以包括掺杂有n型杂质的单晶碳化硅(SiC)或掺杂有n型杂质的单晶硅(Si),因此可以用作NMOS晶体管的源极/漏极层。或者,外延层240可以包括掺杂有p型杂质的单晶硅锗(SiGe),因此可以用作PMOS晶体管的源极/漏极层。外延层240可以被称为源极/漏极层。
栅极结构310可以形成在衬底100上,并可以围绕半导体图案124在第一方向上的中心部分。例如,栅极结构310可以至少部分地围绕每个半导体图案124(沟道)的表面。在附图中,栅极结构310被示出为覆盖两个有源区105上的半导体图案124,然而本发明构思可以不限于此。例如,栅极结构310可以在第二方向上延伸,并可以覆盖在第二方向上彼此间隔开的多于两个的有源区105上的半导体图案124,或者覆盖仅一个有源区105上的半导体图案124。
在附图中,在衬底100上示出两个栅极结构310,然而本发明构思可以不限于此。例如,可以在衬底100上形成在第一方向上彼此间隔开的多于两个的栅极结构310。
栅极结构310可以包括从每个半导体图案124的表面或者有源区105的上表面顺序地堆叠的界面图案270、栅极绝缘图案280、功函数控制图案290和栅电极300。
界面图案270可以形成在有源区105的上表面和半导体图案124的表面上,并且栅极绝缘图案280可以形成在界面图案270的表面以及第一间隔物185的内侧壁和第二间隔物210的内侧壁上。界面图案270可以围绕半导体图案124。功函数控制图案290可以形成在栅极绝缘图案280上,并且栅电极300可以填充在第三方向上彼此间隔开的半导体图案124之间的空间、在有源区105和半导体图案124中的最下面的一个之间的空间以及在半导体图案124中的最上面的一个上由第一间隔物185的内侧限定的空间。
界面图案270可以包括氧化物例如硅氧化物(SiO2),栅极绝缘图案280可以包括具有高k介电常数的金属氧化物(例如铪氧化物(HfO2)、钽氧化物(Ta2O5)、锆氧化物(ZrO2)等),该高k介电常数具有比硅氧化物(SiO2)的介电常数值高的介电常数值。
功函数控制图案290可以包括例如钛氮化物(TiN)、钛氮氧化物(TiON)、钛氧碳氮化物(TiOCN)、钛硅氮化物(TiSiN)、钛硅氮氧化物(TiSiON)、钛铝氮氧化物(TiAlON)、钽氮化物(TaN)、钽氮氧化物(TaON)、钽铝氮化物(TaAlN)、钽铝氮氧化物(TaAlON)、钨氮化物(WN)、钨碳氮化物(WCN)、铝氧化物(Al2O3)等中的至少一种。栅电极300可以包括金属(例如钛(Ti)、铝(Al)等)、金属合金、或该金属的氮化物或碳化物。
栅极结构310与用作源极/漏极层的外延层240以及用作沟道的半导体图案124一起可以形成晶体管。外延层240(源极/漏极层)可以设置在栅极结构310的相反两侧的每个处,外延层240(源极/漏极层)可以连接到半导体图案124(沟道)的侧壁。根据掺杂在外延层240中的杂质的导电类型,晶体管可以是NMOS晶体管或PMOS晶体管。例如,用作源极/漏极层的外延层240可以掺杂有n型杂质用于NMOS晶体管、或掺杂有p型杂质用于PMOS晶体管。晶体管可以包括在第三方向上顺序地堆叠的用作沟道的多个半导体图案124,因此可以是MBCFET。
栅极结构310可以包括在半导体图案124中的最上面的一个上且在第三方向上与半导体图案124重叠的上部以及在半导体图案124之间和在衬底100和半导体图案124中的最下面的一个之间且在第三方向上与半导体图案124重叠的下部。此外,栅极结构310可以包括在隔离图案130上的部分,即在第三方向上不与半导体图案124重叠的横向部分。
在本发明构思的一示例实施方式中,栅极结构310的上部在第一方向上的第一长度L1可以大于栅极结构310的下部在第一方向上的第三长度L3,其中第三长度L3可以是栅极结构310的下部在第一方向上的最小长度。
栅极结构310可以通过第一间隔物185和第二间隔物210而与外延层240电绝缘。
第一间隔物185可以覆盖栅极结构310的上部在第一方向上的两个相反的侧壁中的每个以及栅极结构310的横向部分在第一方向上的两个相反的侧壁中的每个。第一间隔物185可以具有基本上垂直于衬底100的上表面的侧壁。
第二间隔物210可以覆盖栅极结构310的下部在第一方向上的两个相反的侧壁中的每个。在本发明构思的一示例实施方式中,第二间隔物210可以具有沿着第三方向截取的截面,该截面具有朝向栅极结构310在第一方向上的中心部分凸出的马蹄形状。
在本发明构思的一示例实施方式中,第一间隔物185在第一方向上的第一厚度T1(其可以是第一间隔物185在第一方向上的最大厚度)可以基本上等于第二间隔物210在第三方向上的中心部分在第一方向上的第二厚度T2,然而本发明构思可以不限于此。例如,在本发明构思的一示例实施方式中,第二间隔物210在第三方向上的中心部分在第一方向上的第二厚度T2可以大于第一间隔物185在第一方向上的第一厚度T1。
由于第二间隔物210的形成工艺的特性,栅极结构310的下部的第三长度L3和第二间隔物210的第二厚度T2可以处于折衷关系。因此,随着第三长度L3减小,第二厚度T2可以增大,结果,栅极结构310和外延层240之间的寄生电容可以减小。如上所述,栅极结构310的下部的第三长度L3可以至少小于栅极结构310的上部的第一长度L1,因此第二间隔物210的第二厚度T2可以具有相对大的值,栅极结构310和外延层240之间的寄生电容可以具有相对小的值。此外,第二间隔物210和气隙230的组合可以进一步减小栅极结构310和外延层240之间的寄生电容。
第一间隔物185可以包括氮化物例如硅氮化物(Si3N4),第二间隔物210可以包括氮化物例如硅氮化物(Si3N4)、硅碳氮化物(SiCN)、硅硼氮化物(SiBN)、硅氧碳氮化物(SiOCN)等。
绝缘层250可以围绕第一间隔物185的侧壁以覆盖外延层240。绝缘层250可以包括氧化物,例如硅氧化物(SiO2)。
半导体器件还可以包括电连接到外延层240和/或栅极结构310的接触插塞、布线等。
如上所述,在根据本发明构思的示例实施方式的半导体器件中,栅极结构310的下部可以具有比栅极结构310的上部的长度小的长度,因此覆盖栅极结构310的下部的侧壁的第二间隔物210的厚度可以具有大的值。因此,可以减小栅极结构310和外延层240之间的寄生电容。此外,气隙230的存在可以进一步减小栅极结构310和外延层240之间的寄生电容。因此,在根据本发明构思的示例实施方式的MBCFET中,可以减小围绕垂直堆叠的沟道(半导体图案124)的栅极结构310与源极/漏极层(外延层240)之间的寄生电容,从而为MBCFET提供更好的电特性。
图6至图21是示出根据本发明构思的一示例实施方式的制造半导体器件的方法的平面图和截面图。图6、图8、图10和图14是平面图,图7、图9、图11-图13和图15-图21是截面图。
图7、图9和图11是沿着相应的平面图的线A-A'截取的截面图,其中相应的平面图可以包括图6、图8和图10。图12、图15和图17-图20是沿着相应的平面图的线B-B'截取的截面图,其中相应的平面图可以至少包括图10和图14。图13、图16和图21是沿着相应的平面图的线C-C'截取的截面图,其中相应的平面图可以至少包括图10和图14。
参照图6和图7,牺牲层110和半导体层120可以交替地堆叠在衬底100上。
在附图中,示出三个牺牲层110和三个半导体层120形成在衬底100上,然而本发明构思可以不限于此。通常,会需要多于一个的牺牲层110和多于一个的半导体层120来形成MBCFET。例如,两个或更多个牺牲层110和两个或更多个半导体层120可以形成在衬底100上用于形成MBCFET。
牺牲层110可以包括相对于衬底100和半导体层120具有蚀刻选择性的材料,其可以包括例如硅锗(SiGe)。
参照图8和图9,硬掩模可以形成在半导体层120中的最上面的一个上以在第一方向上延伸,并且半导体层120、牺牲层110以及衬底100的上部可以使用该硬掩模作为蚀刻掩模来蚀刻。因此,有源区105可以形成在衬底100上以在第一方向上延伸,并且包括交替且重复地堆叠的牺牲线112和半导体线122的鳍结构可以形成在有源区105上。硬掩模可以通过光刻工艺形成。蚀刻工艺可以是各向异性蚀刻工艺,例如反应离子蚀刻(RIE)工艺。在本发明构思的一示例实施方式中,多个鳍结构可以形成为在衬底100上在第二方向上彼此间隔开。
在去除硬掩模之后,隔离图案130可以形成在衬底100上以覆盖有源区105的侧壁。
参照图10至图13,虚设栅极结构175可以形成在衬底100上以部分地覆盖鳍结构和隔离图案130。
为了形成虚设栅极结构175,虚设栅极绝缘层、虚设栅电极层和虚设栅极掩模层可以依次形成在其上具有鳍结构和隔离图案130的衬底100上,光致抗蚀剂图案可以形成在虚设栅极掩模层上,并且虚设栅极掩模层可以使用光致抗蚀剂图案作为蚀刻掩模来蚀刻以形成虚设栅极掩模165。光致抗蚀剂图案可以通过光刻工艺形成。
虚设栅极绝缘层可以包括氧化物例如硅氧化物(SiO2),虚设栅电极层可以包括例如多晶硅,虚设栅极掩模层可以包括氮化物例如硅氮化物(Si3N4)。
虚设栅电极层和虚设栅极绝缘层可以使用虚设栅极掩模165作为蚀刻掩模来蚀刻以分别形成虚设栅电极155和虚设栅极绝缘图案145。
顺序地堆叠在有源区105和隔离图案130的与其相邻的部分上的虚设栅极绝缘图案145、虚设栅电极155和虚设栅极掩模165可以形成虚设栅极结构175。在本发明构思的一示例实施方式中,虚设栅极结构175可以在第二方向上延伸以覆盖鳍结构的上表面和在第二方向上的两个相反的侧壁以及隔离图案130在第二方向上与该鳍结构相邻的部分。
参照图14至图16,第一间隔物185可以形成在虚设栅极结构175的侧壁上。具体地,第一间隔物层可以形成在其上具有鳍结构、隔离图案130和虚设栅极结构175的衬底100上,并可以被各向异性地蚀刻以形成覆盖虚设栅极结构175在第一方向上的两个相反的侧壁中的每个的第一间隔物185。第一间隔物层可以通过沉积工艺(例如化学气相沉积(CVD)工艺、原子层沉积(ALD)工艺等)形成,并可以共形地涂覆在鳍结构、隔离图案130和虚设栅极结构175的暴露的顶表面和侧壁表面上。各向异性蚀刻工艺可以从鳍结构的顶表面、隔离图案130的顶表面和虚设栅极结构175的顶表面去除第一间隔物层。
鳍结构可以使用虚设栅极结构175和第一间隔物185作为蚀刻掩模来蚀刻以暴露衬底100的有源区105的上表面。因此,在虚设栅极结构175和第一间隔物185下面的牺牲线112和半导体线122可以分别转变为牺牲图案114和半导体图案124,并且鳍结构可以被分成在第一方向上彼此间隔开的多个部分。在本发明构思的一示例实施方式中,每个半导体图案124可以用作晶体管的沟道。
在下文,虚设栅极结构175、在虚设栅极结构175的两个相反的侧壁的每个上的第一间隔物185以及在虚设栅极结构175和第一间隔物185下面的鳍结构可以被称为第一结构。在本发明构思的一示例实施方式中,第一结构可以在第二方向上延伸,并且多个第一结构可以形成为在第一方向上彼此间隔开。第一开口190可以形成在第一结构之间以暴露有源区105和隔离图案130。
参照图17,牺牲图案114的在第一方向上的由第一开口190暴露的两个相反的侧壁可以被各向同性地蚀刻以分别形成第一凹陷200。
在本发明构思的一示例实施方式中,第一凹陷200可以通过对牺牲图案114的湿蚀刻工艺而形成。因此,每个第一凹陷200可以具有朝向每个牺牲图案114在第一方向上的中心部分的凸起形状。
第一凹陷200可以在第一方向上具有从其在第三方向上的中心部分到其顶部或其底部逐渐减小的宽度。也就是,牺牲图案114可以在第一方向上具有从其在第三方向上的中心部分到其顶部或其底部逐渐增大的长度。在本发明构思的一示例实施方式中,牺牲图案114的中心部分在第一方向上的第二宽度W2可以小于牺牲图案114上的虚设栅极结构175的第一宽度W1。
参照图18,可以形成第二间隔物210以填充每个第一凹陷200。
第二间隔物210可以通过在虚设栅极结构175、第一间隔物185、鳍结构、衬底100的有源区105以及隔离图案130上形成第二间隔物层以填充第一凹陷200以及各向异性地蚀刻第二间隔物层来形成。第二间隔物层可以通过沉积工艺例如CVD工艺、ALD工艺等形成。在沉积工艺中,第二间隔物层可以共形地涂覆在虚设栅极结构175、第一间隔物185、鳍结构、衬底100的有源区105以及隔离图案130的暴露的顶表面和侧壁表面上。因此,涂覆的第二间隔物层可以遵循这些侧壁表面的轮廓,因此可以在填充第一凹陷200之后形成具有朝向每个牺牲图案114在第一方向上的中心部分的凸起形状的新的凹陷。各向异性蚀刻可以减小该新的凹陷的尺寸。
在本发明构思的一示例实施方式中,第二凹陷220可以形成在第二间隔物210的外侧壁上,其可以朝向牺牲图案114在第一方向上的中心部分凸出。在本发明构思的一示例实施方式中,第二间隔物210在第三方向上的中心部分在第一方向上的第二厚度T2可以略大于第一间隔物185在第一方向上的第一厚度T1,其中第一厚度T1可以是第一间隔物185在第一方向上的最大厚度,然而本发明构思可以不限于此。由于第二间隔物210的第二厚度T2增大,随后形成的栅极结构310(参照图1和图3)与外延层240(参照图19)之间的寄生电容可以减小。此外,随后形成的气隙230(参照图19)可以进一步减小随后形成的栅极结构310和外延层240(参照图19)之间的寄生电容。
参照图19,外延层240可以形成在衬底100的有源区105的由第一开口190暴露的上表面上。
在本发明构思的一示例实施方式中,外延层240可以通过使用有源区105的由第一开口190暴露的上表面作为籽晶的选择性外延生长(SEG)工艺来形成。
在本发明构思的一示例实施方式中,SEG工艺可以使用诸如乙硅烷(Si2H6)的硅源气体和诸如甲基硅烷(SiH3CH3)的碳源气体来执行,以形成单晶碳化硅(SiC)层。在本发明构思的一示例实施方式中,SEG工艺可以仅使用诸如乙硅烷(Si2H6)的硅源气体来执行,以形成单晶硅(Si)层。包括单晶碳化硅(SiC)层或单晶硅(Si)层的外延层240可以用作NMOS晶体管的源极/漏极层。或者,SEG工艺可以使用诸如二氯硅烷(SiH2Cl2)的硅源气体和诸如锗烷(GeH4)的锗源气体来执行,以形成单晶硅锗(SiGe)层。包括单晶硅锗(SiGe)层的外延层240可以用作PMOS晶体管的源极/漏极层。
在本发明构思的一示例实施方式中,外延层240可以形成在第一结构在第一方向上的两个相反的侧壁的每个上。在本发明构思的一示例实施方式中,外延层240可以与鳍结构的半导体图案124的侧壁以及覆盖牺牲图案114的侧壁的第二间隔物210的外侧壁接触,并且可以进一步在第三方向上生长以接触第一间隔物185的侧壁。
在本发明构思的一示例实施方式中,由于结晶性,外延层240可以不完全填充第二间隔物210的外侧壁上的第二凹陷220,因此可以在外延层240和第二间隔物210之间形成气隙230。
外延层240可以用作晶体管的源极/漏极层。可以对外延层240进一步执行杂质掺杂工艺和热处理工艺。例如,当外延层240包括碳化硅或硅时,n型杂质可以被掺杂到其中并可以执行热处理。当外延层240包括硅锗时,p型杂质可以被掺杂到其中并可以执行热处理。因此,外延层240可以包括掺杂有n型杂质的单晶碳化硅(SiC)或掺杂有n型杂质的单晶硅(Si),因此可以用作NMOS晶体管的源极/漏极层。或者,外延层240可以包括掺杂有p型杂质的单晶硅锗(SiGe),因此可以用作PMOS晶体管的源极/漏极层。
参照图20,绝缘层250可以形成在衬底100上以覆盖第一结构和外延层240,并可以被平坦化直到暴露第一结构的虚设栅电极155的上表面。在平坦化工艺期间,还可以去除虚设栅极掩模165,并且可以去除第二间隔物185的上部。
平坦化工艺可以通过化学机械抛光(CMP)工艺和/或回蚀刻工艺来执行。
暴露的虚设栅电极155以及其下面的虚设栅极绝缘图案145和牺牲图案114可以通过例如湿蚀刻工艺和/或干蚀刻工艺去除,以形成暴露第一间隔物185的内侧壁、第二间隔物210的内侧壁、半导体图案124的表面和有源区105的上表面的第二开口260。
在本发明构思的一示例实施方式中,当去除牺牲图案114时,第二间隔物210的与牺牲图案114接触的部分(例如第二间隔物210在第三方向上的中心部分)也可以被部分地去除,因此第二间隔物210的第二厚度T2可以减小。在本发明构思的一示例实施方式中,在去除牺牲图案114之后,第二间隔物210的第二厚度T2可以基本上等于第一间隔物185的第一厚度T1,然而本发明构思可以不限于此。例如,在本发明构思的一示例实施方式中,在去除牺牲图案114之后,第二间隔物210的第二厚度T2可以大于第一间隔物185的第一厚度T1。
在去除牺牲图案114之后,在第一方向上相对的第二间隔物210的内侧壁之间的第三宽度W3(其可以是在第一方向上相对的第二间隔物210的内侧壁之间的最小宽度)可以小于在第一方向上相对的第一间隔物185的内侧壁之间的第二开口260的第一宽度W1。
再次参照图1至图5,栅极结构310可以形成在衬底100上以填充第二开口260。具体地,在对通过第二开口260暴露的有源区105的上表面和半导体图案124的表面执行热氧化工艺以形成界面图案270之后,栅极绝缘层和功函数控制层可以依次形成在界面图案270的表面、第一间隔物185和第二间隔物210的内侧壁以及绝缘层250的上表面上,并且栅电极层可以形成为填充第二开口260的剩余部分。
栅极绝缘层、功函数控制层和栅电极层可以通过例如CVD工艺、ALD工艺、物理气相沉积(PVD)工艺等形成。界面图案270也可以通过CVD工艺、ALD工艺、PVD工艺等形成,代替热氧化工艺,并且在这种情况下,界面图案270也可以形成在第一间隔物185的内侧壁和第二间隔物210的内侧壁上。
栅电极层、功函数控制层和栅极绝缘层可以被平坦化直到暴露绝缘层250的上表面以分别形成栅电极300、功函数控制图案290和栅极绝缘图案280。平坦化工艺可以通过CMP工艺和/或回蚀刻工艺来执行。界面图案270、栅极绝缘图案280、功函数控制图案290和栅电极300可以形成栅极结构310。
半导体器件可以通过以上工艺来制造。
图22至图25是示出根据本发明构思的一示例实施方式的半导体器件的平面图和截面图。图22是平面图,图23至图25是截面图。
图24和图25是分别沿着图22的线B-B'和D-D'截取的截面图。图23是图22的区域Y的水平截面图,其可以沿着图24和图25的线E-E'截取。
图22至图25中示出的半导体器件可以与图1至图5的半导体器件基本上相同,除了栅极结构和间隔物的形状之外。因此,相同的附图标记表示相同的元件,并且这里省略对其的详细描述。
参照图22至图25,栅极结构310在第一方向上的长度可以在比半导体图案124中的最上面的一个的上表面低的第一高度处沿着第二方向改变。
在本发明构思的一示例实施方式中,在第一高度处,栅极结构310的在第三方向上与半导体图案124重叠的第一部分在第一方向上的第三长度L3可以小于栅极结构310的在第三方向上不与半导体图案124重叠的第二部分在第一方向上的第五长度L5,其中第三长度L3可以是栅极结构310的在第三方向上与半导体图案124重叠的第一部分在第一方向上的最小长度,并且第五长度L5可以是栅极结构310的在第三方向上不与半导体图案124重叠的第二部分在第一方向上的最小长度。
在本发明构思的一示例实施方式中,在第一高度处,栅极结构310的第二部分中的相对靠近半导体图案124的第三部分在第一方向上的第四长度L4可以大于栅极结构310的第二部分中的相对远离半导体图案124的第四部分在第一方向上的长度(即第五长度L5)。
在本发明构思的一示例实施方式中,在第一高度之下,栅极结构310的第三部分在第一方向上的长度可以随着栅极结构310的第三部分的高度减小而增大。在第一高度之上,栅极结构310的第一部分在第一方向上的长度可以在第三方向上基本上恒定。也就是,在半导体图案124(沟道)中的最上面的一个的上表面之上的高度处,栅极结构的第一部分在第一方向上的长度沿着垂直方向(第三方向)基本上恒定。此外,在第一高度之上,栅极结构310的第二部分在第一方向上的长度可以在垂直方向(第三方向)上基本上恒定。这里,在第一高度之上可以表示在半导体图案124(沟道)中的最上面的一个的上表面之上。
在本发明构思的一示例实施方式中,在第一高度处,栅极结构310的第一部分在第一方向上的长度可以随着第一部分变得更靠近其第二部分而增大。例如,栅极结构310的位于栅极结构310的第一部分和第二部分之间的边界附近的第一部分在第一方向上的长度可以大于栅极结构310的远离该边界定位的第一部分在第一方向上的长度。如图23所示,第三长度L3(其是远离该边界定位且在两个边界之间的大约中间位置处的第一部分的长度)可以是栅极结构310的第一部分在第一方向上的最小长度。
在本发明构思的一示例实施方式中,在第一高度处,栅极结构310在第一方向上的长度可以沿着第二方向周期性地改变。
第一间隔物185的覆盖栅极结构310的第三部分在第一方向上的两个相反的侧壁中的每个的部分可以具有倾斜的侧壁,该倾斜的侧壁可以在第一高度下面不垂直于衬底100的上表面。
在半导体器件中,栅极结构310的在半导体图案124之间的第一部分在第一方向上的第三长度L3可以小于栅极结构310的上部的第一长度L1和栅极结构310的不与半导体图案124重叠但与其相邻的第三部分的第四长度L4。因此,覆盖栅极结构310的第一部分的第二间隔物210可以具有大的厚度,并且栅极结构310和外延层240之间的寄生电容可以减小。此外,气隙230的存在可以进一步减小栅极结构310和外延层240之间的寄生电容。因此,在根据本发明构思的一示例实施方式的MBCFET中,围绕垂直堆叠的沟道(半导体图案124)的栅极结构310与源极/漏极层(外延层240)之间的寄生电容可以减小,从而为MBCFET提供更好的电特性。
图26至图38是示出根据本发明构思的一示例实施方式的制造半导体器件的方法的平面图和截面图。具体地,图26、图31和图35是平面图,图27、图32和图36是水平截面图,图28-图30、图33-图34和图37-图38是垂直截面图。
图28是沿着相应的平面图的线A-A'截取的截面图,其中相应的平面图可以包括图26。图29、图33和图37是沿着相应的平面图的线B-B'截取的截面图,其中相应的平面图可以包括图26、图31和图35。图30、图34和图38是沿着相应的平面图的线D-D'截取的截面图,其中相应的平面图可以包括图26、图31和图35。图27、图32和图36是相应的平面图的水平截面图,其可以沿着相应的垂直截面图的线E-E'截取,其中相应的平面图可以包括图26、图31和图35,相应的垂直截面图可以包括图28、图33和图37。
参照图26至图30,可以执行与参照图6至图9所示的工艺基本上相同或相似的工艺,还可以执行与参照图10至图13所示的工艺基本上相同或相似的工艺。
参照图26和图30,当形成虚设栅极结构175时,虚设栅电极层和虚设栅极绝缘层可以在靠近鳍结构在第二方向上的两个相反的侧壁中的每个的区域(例如由线D-D'穿过的区域)不被完全图案化,因此虚设栅电极155和虚设栅极绝缘图案145的靠近鳍结构在第二方向上的两个相反的侧壁的部分可以在第一方向上具有比其其它部分的宽度大的宽度。如图30所示,虚设栅极结构175的宽度的增大可以从鳍结构的顶部朝向衬底100的上表面加深,因此虚设栅极结构175的靠近鳍结构的两个相反的侧壁的部分可以具有倾斜的侧壁,该倾斜的侧壁可以不垂直于衬底100的上表面。
参照图31至图34,可以执行与参照图14至图16所示的工艺基本上相同或相似的工艺。
参照图31和图34,由于虚设栅极结构175的宽度从鳍结构的顶部朝向衬底100的上表面增大,覆盖虚设栅极结构175在第一方向上的两个相反的侧壁中的每个的第一间隔物185也可以在鳍结构的上表面下面的高度处具有倾斜的侧壁,该倾斜的侧壁可以不垂直于衬底100的上表面。
参照图35至图38,可以执行与参照图17至图21所示的工艺基本上相同或相似的工艺。
在本发明构思的一示例实施方式中,在去除牺牲图案114之后,第二间隔物210的第二厚度T2可以基本上等于第一间隔物185的第一厚度T1,然而本发明构思可以不限于此。例如,在本发明构思的一示例实施方式中,第二间隔物210的第二厚度T2可以大于第一间隔物185的第一厚度T1。在去除牺牲图案114之后,在第一方向上相对的第二间隔物210之间在第一方向上的第三宽度W3可以小于在第一方向上相对的第一间隔物185的内侧壁之间的第二开口260的第一宽度W1。
在本发明构思的一示例实施方式中,在第一方向上相对且在第三方向上不与半导体图案124重叠的第一间隔物185之间的宽度可以沿着第二方向改变。也就是,第一间隔物185的相对靠近半导体图案124的部分之间的第四宽度W4可以大于第一间隔物185的相对远离半导体图案124的部分之间的第五宽度W5。在本发明构思的一示例实施方式中,第四宽度W4可以从顶部朝向衬底100的上表面增大。
在执行图35至图38所示的工艺之后,可以执行与参照图22至图25所示的工艺基本上相同或相似的工艺,以完成半导体器件的制造。图22至图25所示的半导体器件可以与图1至图5的半导体器件基本上相同,除了栅极结构和间隔物的形状之外。
以上是对本发明构思的示例实施方式的说明,而不应被解释为对其进行限制。尽管已经描述了一些特定的示例实施方式,但是本领域技术人员将容易理解,在示例实施方式中可以进行许多修改而在实质上没有脱离由权利要求书限定的本发明构思的精神和范围。
本申请要求于2017年11月17日在韩国知识产权局(KIPO)提交的韩国专利申请第10-2017-0154320号的优先权,其内容通过引用整体地结合于此。

Claims (20)

1.一种半导体器件,包括:
沟道,分别在多个水平面处,所述沟道在衬底的上表面上在垂直方向上彼此间隔开;
在所述衬底上的栅极结构,所述栅极结构至少部分地围绕每个所述沟道的表面并在基本上平行于所述衬底的所述上表面的第一方向上延伸;
源极/漏极层,在所述栅极结构的在第二方向上的相反侧的每个处,该第二方向基本上平行于所述衬底的所述上表面且与所述第一方向交叉,所述源极/漏极层连接到所述沟道的侧壁;
第一间隔物,在所述栅极结构的上部的在所述第二方向上的相反的侧壁的每个上,所述栅极结构的所述上部在所述沟道中的最上面的一个的上表面上并在所述垂直方向上与所述沟道重叠;以及
第二间隔物,在所述栅极结构的下部的在所述第二方向上的相反的侧壁的每个上,所述栅极结构的所述下部在所述沟道之间以及在所述衬底和所述沟道中的最下面的一个之间,并在所述垂直方向上与所述沟道重叠,
其中在从所述衬底的所述上表面起在所述垂直方向上的第一高度处,所述栅极结构的在所述垂直方向上与所述沟道重叠的第一部分在所述第二方向上的长度的最小值小于所述栅极结构的在所述垂直方向上不与所述沟道重叠的第二部分在所述第二方向上的长度,
其中在所述第一高度处,所述栅极结构的靠近所述沟道的第三部分在所述第二方向上的长度大于所述栅极结构的远离所述沟道的第四部分在所述第二方向上的长度,所述栅极结构的所述第三部分和所述第四部分在所述栅极结构的所述第二部分中,并且
其中,在所述第一高度处,随着所述第一部分变得更靠近所述栅极结构的所述第二部分,所述栅极结构的所述第一部分在所述第二方向上的长度增大。
2.根据权利要求1所述的半导体器件,其中在所述沟道中的所述最上面的一个的所述上表面之下的高度处,所述栅极结构的所述第三部分在所述第二方向上的长度随着在所述沟道中的所述最上面的一个的所述上表面之下的所述高度降低而增大。
3.根据权利要求1所述的半导体器件,其中所述第一高度低于所述沟道中的所述最上面的一个的所述上表面。
4.根据权利要求1所述的半导体器件,其中,在所述沟道中的所述最上面的一个的所述上表面之上的高度处,所述栅极结构的所述第一部分在所述第二方向上的长度沿着所述垂直方向是基本上恒定的。
5.根据权利要求1所述的半导体器件,其中所述沟道在每个水平面处在所述第一方向上彼此间隔开,并且
在所述第一高度处,所述栅极结构在所述第二方向上的长度沿着所述第一方向周期性地改变。
6.根据权利要求1所述的半导体器件,其中所述第二间隔物具有垂直截面,所述垂直截面具有朝向所述栅极结构的所述下部在所述第二方向上的中心部分凸出的马蹄形状,
其中,所述栅极结构在所述沟道之间的部分在所述第二方向上的最小长度小于所述栅极结构的所述上部在所述第二方向上的长度。
7.根据权利要求6所述的半导体器件,其中所述第一间隔物和所述第二间隔物中的每个与所述源极/漏极层接触,并且
气隙形成在所述第二间隔物和所述源极/漏极层之间。
8.根据权利要求1所述的半导体器件,其中所述栅极结构还包括在所述垂直方向上不与所述沟道重叠的横向部分,并且
所述第一间隔物覆盖所述栅极结构的所述横向部分在所述第二方向上的相反的侧壁中的每个。
9.根据权利要求8所述的半导体器件,其中在所述沟道中的所述最上面的一个的所述上表面之下的高度处,所述第一间隔物具有相对于所述衬底的所述上表面的倾斜侧壁。
10.根据权利要求1所述的半导体器件,其中所述第一间隔物在所述第二方向上的第一厚度等于或小于所述第二间隔物在所述垂直方向上的中心部分在所述第二方向上的第二厚度。
11.根据权利要求1所述的半导体器件,其中所述第一方向和所述第二方向基本上彼此垂直。
12.一种半导体器件,包括:
沟道,分别在多个水平面处,所述沟道在衬底的上表面上在垂直方向上彼此间隔开;
在所述衬底上的栅极结构,所述栅极结构至少部分地围绕每个所述沟道的表面并在基本上平行于所述衬底的所述上表面的第一方向上延伸;
源极/漏极层,在所述栅极结构的在第二方向上的相反侧的每个处,该第二方向基本上平行于所述衬底的所述上表面且与所述第一方向交叉,所述源极/漏极层连接到所述沟道的侧壁;
第一间隔物,在所述栅极结构的上部的在所述第二方向上的相反的侧壁的每个上,所述栅极结构的所述上部在所述沟道中的最上面的一个的上表面上并在所述垂直方向上与所述沟道重叠;以及
第二间隔物,在所述栅极结构的下部的在所述第二方向上的相反的侧壁的每个上,所述栅极结构的所述下部在所述沟道之间以及在所述衬底和所述沟道中的最下面的一个之间,并在所述垂直方向上与所述沟道重叠,
其中在从所述衬底的所述上表面起在所述垂直方向上的第一高度处,所述栅极结构的在所述垂直方向上与所述沟道重叠的第一部分在所述第二方向上的长度的最小值小于所述栅极结构的在所述垂直方向上不与所述沟道重叠的第二部分在所述第二方向上的长度,
其中在所述第一高度处,所述栅极结构的靠近所述沟道的第三部分在所述第二方向上的长度大于所述栅极结构的远离所述沟道的第四部分在所述第二方向上的长度,所述栅极结构的所述第三部分和所述第四部分在所述栅极结构的所述第二部分中,
其中,在所述第一高度处,随着所述第一部分变得更靠近所述栅极结构的所述第二部分,所述栅极结构的所述第一部分在所述第二方向上的长度增大,并且
其中所述第二间隔物具有垂直截面,所述垂直截面具有朝向所述栅极结构的所述下部在所述第二方向上的中心部分凸出的马蹄形状。
13.根据权利要求12所述的半导体器件,其中所述第一间隔物和所述第二间隔物中的每个与所述源极/漏极层接触,并且
气隙形成在所述第二间隔物和所述源极/漏极层之间。
14.根据权利要求12所述的半导体器件,其中所述栅极结构还包括在所述垂直方向上不与所述沟道重叠的横向部分,并且
所述第一间隔物覆盖所述栅极结构的所述横向部分的在所述第二方向上的相反的侧壁中的每个。
15.根据权利要求12所述的半导体器件,其中在所述沟道中的所述最上面的一个的所述上表面之下的高度处,所述第一间隔物具有相对于所述衬底的所述上表面的倾斜侧壁。
16.根据权利要求12所述的半导体器件,其中所述第一间隔物在所述第二方向上的第一厚度等于或小于所述第二间隔物在所述垂直方向上的中心部分在所述第二方向上的第二厚度。
17.一种半导体器件,包括:
有源区,在衬底上;
沟道,分别在多个水平面处,所述沟道在所述有源区上在垂直方向上彼此间隔开;
在所述衬底上的栅极结构,所述栅极结构至少部分地围绕每个所述沟道的表面并在基本上平行于所述衬底的上表面的第一方向上延伸,并且所述栅极结构包括从每个所述沟道的表面依次堆叠的栅极绝缘图案和栅电极;
源极/漏极层,在所述栅极结构的在第二方向上的相反侧的每个处,所述第二方向基本上平行于所述衬底的所述上表面并与所述第一方向交叉,所述源极/漏极层连接到所述沟道的侧壁;
第一间隔物,在所述栅极结构的上部的在所述第二方向上的相反的侧壁的每个上,所述栅极结构的所述上部在所述沟道中的最上面的一个的上表面上并在所述垂直方向上与所述沟道重叠;以及
第二间隔物,在所述栅极结构的下部的在所述第二方向上的相反的侧壁的每个上,所述栅极结构的所述下部在所述沟道之间以及在所述衬底和所述沟道中的最下面的一个之间,并在所述垂直方向上与所述沟道重叠,
其中在从所述衬底的所述上表面起在所述垂直方向上的第一高度处,所述栅极结构的在所述垂直方向上与所述沟道重叠的第一部分在所述第二方向上的长度的最小值小于所述栅极结构的在所述垂直方向上不与所述沟道重叠的第二部分在所述第二方向上的长度,
其中在所述第一高度处,所述栅极结构的靠近所述沟道的第三部分在所述第二方向上的长度大于所述栅极结构的远离所述沟道的第四部分在所述第二方向上的长度,所述栅极结构的所述第三部分和所述第四部分在所述栅极结构的所述第二部分中,并且
其中,在所述第一高度处,随着所述第一部分变得更靠近所述栅极结构的所述第二部分,所述栅极结构的所述第一部分在所述第二方向上的长度增大。
18.根据权利要求17所述的半导体器件,其中所述栅极结构还包括在每个所述沟道的所述表面和所述栅极绝缘图案之间的界面图案,所述界面图案包括硅氧化物并且所述栅极绝缘图案包括金属氧化物。
19.根据权利要求17所述的半导体器件,其中在所述沟道中的所述最上面的一个的所述上表面之下的高度处,所述栅极结构的所述第三部分在所述第二方向上的长度随着在所述沟道中的所述最上面的一个的所述上表面之下的所述高度降低而增大。
20.根据权利要求17所述的半导体器件,其中所述沟道在每个水平面处在所述第一方向上彼此间隔开,并且
在所述第一高度处,所述栅极结构在所述第二方向上的长度沿着所述第一方向周期性地改变。
CN201811374372.5A 2017-11-17 2018-11-19 半导体器件 Active CN109801913B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020170154320A KR102399071B1 (ko) 2017-11-17 2017-11-17 반도체 장치
KR10-2017-0154320 2017-11-17

Publications (2)

Publication Number Publication Date
CN109801913A CN109801913A (zh) 2019-05-24
CN109801913B true CN109801913B (zh) 2023-11-07

Family

ID=66534032

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811374372.5A Active CN109801913B (zh) 2017-11-17 2018-11-19 半导体器件

Country Status (4)

Country Link
US (3) US10629740B2 (zh)
KR (1) KR102399071B1 (zh)
CN (1) CN109801913B (zh)
TW (1) TWI829646B (zh)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102527382B1 (ko) * 2016-06-21 2023-04-28 삼성전자주식회사 반도체 소자
KR102353251B1 (ko) * 2017-09-28 2022-01-19 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102399071B1 (ko) * 2017-11-17 2022-05-17 삼성전자주식회사 반도체 장치
KR102620595B1 (ko) 2018-01-22 2024-01-03 삼성전자주식회사 소자분리막을 갖는 반도체 소자 및 그 제조 방법
US11038043B2 (en) * 2018-07-31 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11043578B2 (en) * 2018-08-30 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Nanowire stack GAA device with inner spacer
KR102133208B1 (ko) * 2019-01-30 2020-07-14 포항공과대학교 산학협력단 펀치스루 스토퍼가 배제된 전계효과 트랜지스터 및 이의 제조방법
KR20200136230A (ko) * 2019-05-27 2020-12-07 삼성전자주식회사 집적회로 장치 및 그 제조 방법
US10879379B2 (en) * 2019-05-30 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US10910470B1 (en) * 2019-07-18 2021-02-02 International Business Machines Corporation Nanosheet transistors with inner airgaps
US11222948B2 (en) * 2019-09-27 2022-01-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of fabricating the semiconductor structure
US11264485B2 (en) * 2019-10-24 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure for semiconductor device
US11621195B2 (en) * 2019-10-30 2023-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
CN111106176B (zh) * 2019-11-29 2021-09-14 中国科学院微电子研究所 半导体器件及其制造方法及包括该半导体器件的电子设备
US11894368B2 (en) * 2019-12-26 2024-02-06 Intel Corporation Gate-all-around integrated circuit structures fabricated using alternate etch selective material
KR20210092360A (ko) 2020-01-15 2021-07-26 삼성전자주식회사 반도체 소자
US11502183B2 (en) * 2020-01-29 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Air gap in inner spacers and methods of fabricating the same in field-effect transistors
DE102020134536A1 (de) 2020-01-29 2021-07-29 Taiwan Semiconductor Manufacturing Co., Ltd. Luftspalt in inneren abstandshaltern und verfahren zum fertigen desselben in feldeffekttransistoren
US11374128B2 (en) * 2020-02-27 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for air gap inner spacer in gate-all-around devices
CN113113359A (zh) 2020-02-27 2021-07-13 台湾积体电路制造股份有限公司 半导体装置的制造方法
DE102020119940A1 (de) * 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Mehrfachgatetransistorstruktur
US11195937B2 (en) * 2020-03-31 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate transistor structure
KR20210124731A (ko) * 2020-04-07 2021-10-15 삼성전자주식회사 게이트 스페이서를 갖는 반도체 소자들
US11289584B2 (en) 2020-04-24 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacer features for multi-gate transistors
DE102020120477A1 (de) * 2020-04-24 2021-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Innenabstandhaltermerkmale für multigate-transistoren
US11437492B2 (en) 2020-05-20 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
DE102020127567A1 (de) 2020-05-20 2021-11-25 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren zu ihrer herstellung
DE102021108221A1 (de) * 2020-05-26 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Kanalkonfiguration zur Verbesserung der Leistung eines Multigate-Bauelements und Verfahren zur Fertigung davon
US11271113B2 (en) * 2020-06-12 2022-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11450758B2 (en) 2020-06-12 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure of semiconductor device and method of forming same
US11289586B2 (en) * 2020-08-11 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure for semiconductor device
US11664441B2 (en) * 2020-08-13 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet field-effect transistor device and method of forming
KR20220030374A (ko) * 2020-08-28 2022-03-11 삼성전자주식회사 반도체 장치
KR20220031799A (ko) 2020-09-03 2022-03-14 삼성전자주식회사 반도체 소자
US11444178B2 (en) * 2020-11-13 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Inner spacer liner
KR20220091756A (ko) 2020-12-24 2022-07-01 삼성전자주식회사 반도체 장치
CN116666439B (zh) * 2023-04-20 2024-04-26 中国科学院微电子研究所 具有连续栅长的竖直半导体器件及其制造方法及电子设备

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109216197A (zh) * 2017-06-29 2019-01-15 三星电子株式会社 制造半导体器件的方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100555567B1 (ko) 2004-07-30 2006-03-03 삼성전자주식회사 다중가교채널 트랜지스터 제조 방법
US8753942B2 (en) 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
KR101654443B1 (ko) 2011-12-23 2016-09-05 인텔 코포레이션 비평면 게이트 올어라운드 장치 및 그의 제조 방법
US9484447B2 (en) * 2012-06-29 2016-11-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
US9362397B2 (en) * 2013-09-24 2016-06-07 Samsung Electronics Co., Ltd. Semiconductor devices
US9570609B2 (en) 2013-11-01 2017-02-14 Samsung Electronics Co., Ltd. Crystalline multiple-nanosheet strained channel FETs and methods of fabricating the same
US9349866B2 (en) 2014-10-10 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
US9673277B2 (en) 2014-10-20 2017-06-06 Applied Materials, Inc. Methods and apparatus for forming horizontal gate all around device structures
GB201421151D0 (en) * 2014-11-28 2015-01-14 Spts Technologies Ltd Method of degassing
US9401416B2 (en) 2014-12-04 2016-07-26 Globalfoundries Inc. Method for reducing gate height variation due to overlapping masks
US20160190239A1 (en) 2014-12-26 2016-06-30 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
KR102379701B1 (ko) 2015-10-19 2022-03-28 삼성전자주식회사 멀티-채널을 갖는 반도체 소자 및 그 형성 방법
US10164012B2 (en) 2015-11-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9728539B2 (en) 2015-12-08 2017-08-08 Korea Advanced Institute Of Science And Technology Multi bit capacitorless DRAM and manufacturing method thereof
US9412849B1 (en) 2015-12-11 2016-08-09 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device
JP6856651B2 (ja) * 2016-01-05 2021-04-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法
KR102366953B1 (ko) 2016-01-06 2022-02-23 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR102343470B1 (ko) * 2016-01-28 2021-12-24 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR102413782B1 (ko) 2016-03-02 2022-06-28 삼성전자주식회사 반도체 장치
US10319627B2 (en) * 2016-12-13 2019-06-11 Globalfoundries Inc. Air-gap spacers for field-effect transistors
US10651291B2 (en) * 2017-08-18 2020-05-12 Globalfoundries Inc. Inner spacer formation in a nanosheet field-effect transistor
KR102399071B1 (ko) 2017-11-17 2022-05-17 삼성전자주식회사 반도체 장치

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109216197A (zh) * 2017-06-29 2019-01-15 三星电子株式会社 制造半导体器件的方法

Also Published As

Publication number Publication date
US11309421B2 (en) 2022-04-19
KR102399071B1 (ko) 2022-05-17
US11923456B2 (en) 2024-03-05
TWI829646B (zh) 2024-01-21
US20200220006A1 (en) 2020-07-09
US20220238707A1 (en) 2022-07-28
CN109801913A (zh) 2019-05-24
KR20190056907A (ko) 2019-05-27
US10629740B2 (en) 2020-04-21
TW201924051A (zh) 2019-06-16
US20190157444A1 (en) 2019-05-23

Similar Documents

Publication Publication Date Title
CN109801913B (zh) 半导体器件
US11784260B2 (en) Semiconductor devices
US11670680B2 (en) Semiconductor devices
US11581312B2 (en) Semiconductor devices having different numbers of stacked channels in different regions and methods of manufacturing the same
US11222949B2 (en) Semiconductor devices and methods of manufacturing the same
US11171136B2 (en) Semiconductor devices
KR20200067225A (ko) 반도체 장치 및 그 제조 방법
US11695041B2 (en) Method of manufacturing a semiconductor device
US11038018B2 (en) Semiconductor devices
US20220352388A1 (en) Semiconductor devices
CN110931545A (zh) 半导体器件
CN110718548A (zh) 半导体器件
US20220223711A1 (en) Semiconductor devices including separation structure

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant