CN109247044B - 用于适应性电压调制的电路、方法及系统 - Google Patents

用于适应性电压调制的电路、方法及系统 Download PDF

Info

Publication number
CN109247044B
CN109247044B CN201780028329.0A CN201780028329A CN109247044B CN 109247044 B CN109247044 B CN 109247044B CN 201780028329 A CN201780028329 A CN 201780028329A CN 109247044 B CN109247044 B CN 109247044B
Authority
CN
China
Prior art keywords
circuit
voltage
response
signal
supply voltage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780028329.0A
Other languages
English (en)
Other versions
CN109247044A (zh
Inventor
Y·N·科拉
J·T·布里奇斯
S·帕特尔
S·斯里达尔
B·L·普赖斯
G·M·塔尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qualcomm Inc
Original Assignee
Qualcomm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qualcomm Inc filed Critical Qualcomm Inc
Publication of CN109247044A publication Critical patent/CN109247044A/zh
Application granted granted Critical
Publication of CN109247044B publication Critical patent/CN109247044B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3296Power saving characterised by the action undertaken by lowering the supply or operating voltage
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02MAPPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF
    • H02M3/00Conversion of dc power input into dc power output
    • H02M3/02Conversion of dc power input into dc power output without intermediate conversion into ac
    • H02M3/04Conversion of dc power input into dc power output without intermediate conversion into ac by static converters
    • H02M3/10Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode
    • H02M3/145Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode using devices of a triode or transistor type requiring continuous application of a control signal
    • H02M3/155Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode using devices of a triode or transistor type requiring continuous application of a control signal using semiconductor devices only
    • H02M3/156Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode using devices of a triode or transistor type requiring continuous application of a control signal using semiconductor devices only with automatic control of output voltage or current, e.g. switching regulators
    • H02M3/157Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode using devices of a triode or transistor type requiring continuous application of a control signal using semiconductor devices only with automatic control of output voltage or current, e.g. switching regulators with digital control
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/324Power saving characterised by the action undertaken by lowering clock frequency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3287Power saving characterised by the action undertaken by switching off individual functional units in the computer system
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02MAPPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF
    • H02M1/00Details of apparatus for conversion
    • H02M1/0003Details of control, feedback or regulation circuits
    • H02M1/0025Arrangements for modifying reference values, feedback values or error values in the control loop of a converter
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02MAPPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF
    • H02M1/00Details of apparatus for conversion
    • H02M1/08Circuits specially adapted for the generation of control voltages for semiconductor devices incorporated in static converters

Abstract

本发明提供用于调整供应电压以减少供应电压降并最小化功率消耗的适应性电压调制电路。在一个方面中,适应性电压调制电路通过检测供应电压何时降低到低于降阈值电压而检测供应电压降,并响应于供应电压降而调整提供到负载电路的时钟信号。所述适应性电压调制电路保持时钟信号周期的数目的计数,在所述时钟信号周期期间所述供应电压低于所述降阈值电压。所述适应性电压调制电路响应于所述计数超出上限阈值而增大所述供应电压,并响应于所述计数小于经定义时段结束时的下限阈值而减小所述供应电压。所述适应性电压调制电路可减少负载电路在经降低频率下进行操作的时间,同时最小化功率消耗。

Description

用于适应性电压调制的电路、方法及系统
优先权要求
本申请案要求保护于2016年5月27日申请的名为“用于调整供应电压以缓和供应电压降的适应性电压调制电路(ADAPTIVE VOLTAGE MODULATION CIRCUITS FOR ADJUSTINGSUPPLY VOLTAGE TO MITIGATE SUPPLY VOLTAGE DROOPS)”的美国临时专利申请案第62/342,638号的优先权,所述申请案的内容以全文引用的方式并入本文中。
本申请案要求保护于2017年5月24日申请的名为“用于调整供应电压以减少供应电压降并最小化功率消耗的适应性电压调制电路(ADAPTIVE VOLTAGE MODULATIONCIRCUITS FOR ADJUSTING SUPPLY VOLTAGE TO REDUCE SUPPLY VOLTAGE DROOPS ANDMINIMIZE POWER CONSUMPTION)”的美国临时专利申请案第 15/604,038号的优先权,所述申请案的内容以全文引用的方式并入本文中。
技术领域
本发明的技术通常涉及供应电压降,且确切地说涉及调整供应电压以减少供应电压降及功率消耗。
背景技术
负面地影响电路性能的一个因素为供应电压降的发生。供应电压降为低于通过电力供应器提供到电路中的一或多个元件的供应电压的特定电压电平的暂时下降或减小。供应电压降可为响应于由电力供应器供电的电路的负载电流需求的变化通过电力供应器提供电力供应电流中的电涌的结果。例如,响应于在电路操作期间电路切换中的晶体管,电路的负载电流需求可增加。负载电流需求的增加引起电力供应电流中的电涌,所述电涌产生供应电压降。对应于供应电压降的供应电压的减小使得电路操作的速度减小,因此负面地影响电路性能。
各种技术可用以减小或避免电路中的供应电压降的影响。例如,电路元件可经设计以在相对于供应电压的特定电压界限中操作。以此方式,电路元件可在接收超出或达不到供应电压达特定百分比(即,界限,诸如所述供应电压的+/-10%)的电压时实现所需操作。然而,此类电压界限常规地设置成符合最差操作条件,且因此,可增加功率消耗且降低电路性能。作为另一个实例,电压调节器可用以感测电路的负载电流需求的变化,且响应于此类变化调整供应电压。然而,电压调节器通常太缓慢而不能有效地缓和高频供应电压降。另外,电压感测器可用以检测供应电压降且响应于检测到供应电压降而降低时钟信号的频率从而减少对应负载电路的负载电流需求。然而,以此方式降低时钟信号的频率减小电路的操作速度。因此,利用电压感测器使经历多个供应电压降的电路频繁地调节到较低时钟频率,其限制电路的性能。
发明内容
揭示于实施方式中的方面包含用于调整供应电压以减少供应电压降并最小化功率消耗的适应性电压调制电路。在一个方面中,提供一种适应性电压调制电路。所述适应性电压调制电路检测供应电压是否降低到低于降阈值电压(即,检测供应电压降),并调整提供到负载电路用于供应电压降的持续时间的时钟信号。调整时钟信号可包含降低负载电路操作的频率以减少或避免由供应电压降引起的定时故障。除了缓和供应电压降的影响外,适应性电压调制电路对时钟周期的数目进行计数,在所述时钟周期期间供应电压低于降阈值电压。换句话说,所述计数指示负载电路经历供应电压降的时间长度。响应于所述计数超出上限阈值,适应性电压调制电路增大供应电压。以此方式,响应于对应于较高负载电流需求的负载电路的操作增加供应电压。相反地,响应于计数小于经定义时段的结束时的下限阈值,适应性电压调制电路减小供应电压。以此方式,响应于对应于较低负载电流需求的负载电路的操作减小供应电压。基于负载电路的操作需求调整供应电压可减少供应电压降的发生并避免设置供应电压大于负载电路所需的电压。因此,适应性电压调制电路缓和供应电压降的影响,且还减少供应电压降的发生,同时最小化负载电路的功率消耗。
就此而言,在一个方面中,提供一种适应性电压调制电路。适应性电压调制电路包括供应电压降检测及缓和电路。供应电压降检测及缓和电路包括经配置以响应于提供到负载电路的供应电压小于降阈值电压而产生处于作用状态的降检测信号的检测电路。供应电压降检测及缓和电路进一步包括经配置以响应于降检测信号调整提供到负载电路的负载时钟信号的时钟调整电路。适应性电压调制电路进一步包括供应电压调整电路。供应电压调整电路包括经配置以响应于参考时钟信号的每一周期而增加计数的计数器电路,其中降检测信号处于作用状态。供应电压调整电路也包括经配置以响应于计数大于调高阈值而产生处于作用状态的电压调高信号的电压调高电路。供应电压调整电路进一步包括经配置以响应于计数在小于通过参考时钟信号所测量的经定义时段结束时的调低阈值产生处于作用状态的电压调低信号的电压调低电路。适应性电压调制电路进一步包括供应电压控制器电路。供应电压控制器电路经配置以响应于电压调高信号处于作用状态而增大提供到负载电路的供应电压,且响应于电压调低信号处于作用状态而减小提供到负载电路的供应电压。
在另一方面中,提供一种适应性电压调制电路。适应性电压调制电路包括用于响应于供应电压小于降阈值电压而产生处于作用状态的降检测信号的装置。适应性电压调制电路也包括用于响应于降检测信号而调整提供到负载电路的负载时钟信号的装置,及用于响应于参考时钟信号的每一周期而增加计数的装置,其中降检测信号处于作用状态。适应性电压调制电路也包括用于响应于计数大于调高阈值而产生处于作用状态的电压调高信号的装置,及用于响应于计数小于如通过参考时钟信号所测量的经定义时段的结束时的调低阈值而产生处于作用状态的电压调低信号的装置。适应性电压调制电路进一步包括用于响应于电压调高信号处于作用状态而增大提供到负载电路的供应电压的装置,及用于响应于电压调低信号处于作用状态而减小提供到负载电路的供应电压的装置。
在另一方面中,提供一种用于适应性地调制供应电压的方法。所述方法包括响应于供应电压小于降阈值电压而产生处于作用状态的降检测信号,且响应于降检测信号而调整提供到负载电路的负载时钟信号。所述方法进一步包括响应于参考时钟信号的每一周期而增加计数,其中降检测信号处于作用状态。所述方法也包括响应于计数大于调高阈值产生处于作用状态的电压调高信号,及响应于计数小于如通过参考时钟信号所测量的经定义时段的结束时的调低阈值而产生处于作用状态的电压调低信号。所述方法也包括响应于电压调高信号处于作用状态而增大提供到负载电路的供应电压,且响应于电压调低信号处于作用状态而减小提供到负载电路的供应电压。
在另一方面中,提供一种基于处理器的系统。基于处理器的电路包括处理器、经配置以将供应电压提供到处理器的功率管理电路及适应性电压调制电路。适应性电压调制电路包括供应电压降检测及缓和电路。供应电压降检测及缓和电路包括经配置以响应于供应电压小于降阈值电压而产生处于作用状态的降检测信号的检测电路,及经配置以响应于降检测信号而调整提供到处理器的负载时钟信号的时钟调整电路。适应性电压调制电路也包括供应电压调整电路。供应电压调整电路包括经配置以响应于参考时钟信号的每一周期而增加计数的计数器电路,其中降检测信号处于作用状态。供应电压调整电路进一步包括经配置以响应于计数大于调高阈值而产生处于作用状态的电压调高信号的电压调高电路,及经配置以响应于计数小于如通过参考时钟信号所测量的经定义时段的结束时的调低阈值而产生处于作用状态的电压调低信号的电压调低电路。适应性电压调制电路进一步包括供应电压控制器电路。供应电压控制器电路经配置以响应于电压调高信号处于作用状态而增大提供到处理器的供应电压,且响应于电压调低信号处于作用状态而减小提供到处理器的供应电压。
附图说明
图1为用于减少供应电压降并最小化功率消耗的示范性适应性电压调制电路的框图;
图2为说明可由图1中的适应性电压调制电路采用以适应性地调制供应电压以减少供应电压降并最小化功率消耗的示范性过程的流程图;
图3为用于减少供应电压降并最小化功率消耗的另一示范性适应性电压调制电路的框图;
图4为用于减少供应电压降并最小化功率消耗的另一示范性适应性电压调制电路的框图;
图5为可包含用于减少图1、3及4中的供应电压降的适应性电压调制电路的示范性基于处理器的系统的框图;及
图6为包含射频(RF)组件的示范性无线通信装置的框图,其中无线通信装置包含用于减少图1、3及4中的供应电压降的适应性电压调制电路。
具体实施方式
现参考图式,描述本发明的若干示范性方面。词语“示范性”在本文中用以表示“充当实例、例项或说明”。在本文中被描述为“示范性”的任何方面不必解释为比其它方面优先或有利。
图1说明检测提供到负载电路102的供应电压(V)是否降低到低于降阈值电压(VDT) (即,检测供应电压降)的示范性适应性电压调制电路100。如本文所使用,供应电压降为至低于通过电力供应器提供到负载电路102的供应电压(V)的特定电压电平的暂时下降或减小。响应于检测到供应电压降,适应性电压调制电路100调整提供到负载电路102 的用于供应电压降的持续时间以缓和供应电压降的影响的负载时钟信号CLK_LD。另外,适应性电压调制电路100对负载电路102经历供应电压降的时间长度(例如,参考时钟周期的数目)进行计数,且响应于所述计数超出上限阈值而增大供应电压(V)。相反地,适应性电压调制电路100响应于计数小于经定义时段结束时的下限阈值而减小供应电压。如下文更详细地描述,以此方式调整供应电压(V)可减少供应电压降的发生且避免设置供应电压(V)高于负载电路102所需的电压。因此,适应性电压调制电路100缓和供应电压降的影响,且也减少供应电压降的发生,同时最小化负载电路102的功率消耗。
就此而言,继续参考图1,适应性电压调制电路100采用供应电压降检测及缓和电路104,其包含经配置以响应于供应电压(V)小于降阈值电压(VDT)而产生处于作用状态的降检测信号108的检测电路106。如下文更详细地描述,检测电路106可实施为使用运算放大器的比较器电路,所述比较器电路经配置以基于供应电压(V)及降阈值电压 (VDT)的比较而产生降检测信号108。替代地,可使用经配置以响应于检测到与供应电压(V)相关联的噪声足够大以将供应电压(V)降低到低于降阈值电压(VDT)而产生降检测信号108的关键路径电压监测(CPVM)电路来实施检测电路106。供应电压降检测及缓和电路104还包含经配置以响应于降检测信号108而调整提供到负载电路102的负载时钟信号CLK_LD的时钟调整电路110。例如,时钟调整电路110可响应于降检测信号108 转变至作用状态(即,响应于检测到供应电压降)而将负载时钟信号CLK_LD的频率降低到小于根时钟信号CLK_RT的频率,所述根时钟信号CLK_RT通过时钟调整电路110 接收。如下文更详细地描述,根时钟信号CLK_RT可为由诸如锁相回路(PLL)的系统电路产生的系统级时钟信号。
继续参考图1,降低负载时钟信号CLK_LD的频率降低负载电路102操作的频率,其可减少或避免由供应电压降引起的定时故障。作为非限制性实例,此方面中的时钟调整电路110通过分割根时钟信号CLK_RT降低负载时钟信号CLK_LD的频率。在其它方面中,时钟调整电路110可以其它方法调整根时钟信号CLK_RT,诸如(但不限于),门控根时钟信号CLK_RT或切换时钟多工器以选择用于负载时钟信号CLK_LD的不同频率。另外,时钟调整电路110也可响应于降检测信号108转变至非作用状态(即,响应于检测到供应电压降的缺失)而将负载时钟信号CLK_LD的频率提高至基本上等于根时钟信号CLK_RT的频率。
继续参考图1,适应性电压调制电路100也采用包含计数器电路114的供应电压调整电路112,所述计数器电路经配置以对参考时钟信号CLK_REF的周期的数目进行计数,在所述周期期间供应电压(V)小于降阈值电压(VDT)。确切地说,计数器电路114经配置以响应于参考时钟信号CLK_REF的每一周期而增加计数CNT,其中降检测信号108 处于作用状态。如本文所使用,参考时钟信号CLK_REF可为具有固定频率(例如,二十(20)兆赫兹(MHz))的时钟信号,所述参考时钟信号CLK_REF为单独的且与根时钟信号 CLK_RT及负载时钟信号CLK_LD不同。替代地,参考时钟信号CLK_REF可与根时钟信号CLK_RT相同。另外,计数器电路114可经配置以在如通过参考时钟信号CLK_REF 所测量的经定义时段内增加计数CNT,且在经定义时段的结束时将计数CNT重置为初始计数值。以此方式,计数CNT指示负载电路102经历供应电压降的经定义时段的百分比,且因此为负载电路102以负载时钟信号CLK_LD的降低的频率操作的时间的百分比。
继续参考图1,供应电压调整电路112还包含电压调高电路116,其经配置以响应于计数CNT大于调高阈值(AU)而产生处于作用状态的电压调高信号118。电压调高电路 116还可经配置以响应于计数CNT小于经定义时段结束时的调高阈值(AU)而产生处于非作用状态的电压调高信号118。另外,供应电压调整电路112包含的电压调低电路120,其经配置以响应于计数CNT小于经定义时段结束时的调低阈值(AD)而产生处于作用状态的电压调低信号122。电压调低电路120还可经配置以响应于计数CNT大于经定义时段结束时的调低阈值(AD)而产生处于非作用状态的电压调低信号122。换句话说,响应于计数CNT超出经定义时段期间的任何时间的调高阈值(AU)而产生处于作用状态的电压调高信号118。相反地,响应于计数CNT小于经定义时段结束时的调低阈值(AD)而产生处于作用状态的电压调低信号122。
继续参考图1,响应于来自供应电压控制器电路124的应答信号指示供应电压(V)已调高,还可产生处于非作用状态的电压调高信号118,其中所述应答信号也重置计数CNT。另外,响应于来自供应电压控制器电路124的应答信号指示供应电压(V)已调低,还可产生处于非作用状态的电压调低信号122,其中所述应答信号也重置计数CNT。
继续参考图1,适应性电压调制电路100还包含供应电压控制器电路124,其经配置以响应于电压调高信号118而增大提供到负载电路102的供应电压(V)。另外,供应电压控制器电路124经配置以响应于电压调低信号122而减小提供到负载电路102的供应电压(V)。在此实例中,供应电压控制器电路124通过发指令给功率管理电路126将供应电压(V)改变为特定电平而调整供应电压(V)。以此方式,响应于负载时钟信号CLK_LD 的频率在经定义时段中经减少的时间量(也被称作“调节百分比”)可增大供应电压(V)。因此,适应性电压调制电路100响应于负载电路102在经定义时段的较高百分比经历供应电压降增加供应电压(V)(例如,较高调整百分比),及响应于负载电路102在经定义时段的较低百分比经历供应电压降减小供应电压(V)(例如,较低调整百分比)。在一些方面中,适应性电压调制电路100可根据上文通过预定义电压阶跃所描述而增大或减小供应电压(V)。作为非限制性实例,若未经调整供应电压(V)等于800毫伏(mV),则适应性电压调制电路100可使供应电压(V)增大十(10)mV的预定义跃阶或使供应电压(V)减小10 mV的预定义跃阶。
就此而言,适应性电压调制电路100响应于负载电路102对应于较高负载电流需求进行操作从而引起供应电压降在相对较长持续时间超过降阈值电压(VDT)而增大供应电压(V)。相反地,适应性电压调制电路100响应于负载电路102对应于较低负载电流需求进行操作从而引起供应电压降在相对较短持续时间超过降阈值电压(VDT)而减小供应电压(V)。基于操作需求及相关的供应电压降量值及负载电路102的持续时间调整供应电压(V)可减小供应电压降的发生并避免设置供应电压(V)高于负载电路102所需的电压。例如,适应性电压调制电路100响应于确定负载电路102具有较高负载电流需求来增加供应电压(V),但响应于确定负载电路102具有较低负载电流需求来减小供应电压 (V)。因此,适应性电压调制电路100可减小供应电压降的发生,其减少负载电路102 以经降低频率进行操作的时间量,同时还最小化负载电路102的功率消耗。
继续参考图1,作为非限制性实例,在1000周期的经定义时段采用适应性电压调制电路100。此外,计数CNT的初始计数值设置为零(0),调高阈值(AU)设置为三十(30),且调低阈值(AD)设置为十(10)。响应于降检测信号108在经定义时段的周期一(1)与周期十(10)之间处于作用状态,计数器电路114将计数CNT增大至十(10),且时钟调整电路 110降低负载时钟信号CLK_LD的频率。在经定义时段的周期十一(11)及周期三十(30) 之间,降检测信号108处于非作用状态,表示不存在供应电压降。然而,在经定义时段的周期三十一(31)及周期五十二(52)之间,降检测信号108处于作用状态,这使得计数器电路114将计数CNT增大至三十一(31)。响应于计数CNT大于三十(30)的调高阈值(AU),电压调高电路116产生处于作用状态的电压调高信号118,因此使供应电压控制器电路 124增加提供到负载电路102的供应电压(V),从而减少供应电压降的发生。
继续参考图1,作为替代的非限制性实例,降检测信号108仅在经定义时段的周期二十(20)及周期二十五(25)之间处于作用状态。以此方式,计数CNT在经定义时段的1000周期期间仅增大至值五(5)。在经定义时段结束时,电压调低电路120响应于计数CNT 小于调低阈值(AD)十(10)而产生处于作用状态的电压调低信号122。因此,供应电压控制器电路124减小供应电压(V),因此最小化负载电路102的功率消耗。
图2说明可由图1中的适应性电压调制电路100采用以适应性地调制供应电压(V)以减少供应电压降并最小化功率消耗的示范性过程200。过程200包含检测电路106响应于供应电压(V)小于降阈值电压(VDT)而产生处于作用状态的降检测信号108(框202)。过程200还包含时钟调整电路110响应于降检测信号108而调整提供到负载电路102的负载时钟信号CLK_LD(框204)。另外,过程200包含计数器电路114响应于参考时钟信号CLK_REF的每一周期而增加计数CNT,其中降检测信号108处于作用状态(框206)。过程200还包含电压调高电路116响应于计数CNT大于调高阈值(AU)而产生处于作用状态的电压调高信号118(框208)。此外,过程200包含电压调低电路120响应于计数 CNT小于通过参考时钟信号CLK_REF所测量的经定义时段结束时的调低阈值(AD)而产生处于作用状态的电压调低信号122(框210)。过程200还包含供应电压控制器电路124 响应于电压调高信号118处于作用状态而增大提供到负载电路102的供应电压(V)(框 212)。过程200还包含供应电压控制器电路124响应于电压调低信号122处于作用状态而减小提供到负载电路102的供应电压(V)(框214)。使用过程200调整供应电压(V)满足负载电路102的操作需求会减少负载电路102以经降低频率进行操作的时间量,同时最小化负载电路102的功率消耗。
图3说明用于减少供应电压降并最小化功率消耗的另一示范性适应性电压调制电路 300。适应性电压调制电路300包含某些与图1的适应性电压调制电路100共有的组件,如由图1与3之间的共同元件符号所示,且因此本文将不再描述。
参考图3,适应性电压调制电路300采用供应电压降检测及包含可被实施为比较器电路106'的检测电路的缓和电路302。确切地说,比较器电路106'经配置以基于执行供应电压(V)与降阈值电压(VDT')的比较而产生降检测信号108'。基于存储于供应电压降检测及缓和电路302中所包含的降阈值寄存器304中的数字阈值(DV)来确定此方面中的降阈值电压(VDT')。数字阈值(DV)为降阈值电压(VDT')的数字表示,其中降阈值寄存器304 经配置以在降阈值寄存器304的输出节点306上提供数字阈值(DV)。另外,供应电压降检测及缓和电路302包含数/模转换器(DAC)308以将数字阈值(DV)转换为比较器电路 106'使用的降阈值电压(VDT')。确切地说,DAC 308包含电耦合至降阈值寄存器304的输出节点306的输入节点310,及DAC 308经配置于其上提供降阈值电压(VDT')的输出节点312。
继续参考图3,比较器电路106'包含电耦合至DAC 308的输出节点312以便接收降阈值电压(VDT')的第一输入节点314,以及接收供应电压(V)的第二输入节点316。比较器电路106'还包含输出节点318,比较器电路106'经配置以于其上提供降检测信号108'。更确切地说,响应于降阈值电压(VDT')大于供应电压(V),由比较器电路106'产生的降检测信号108'转变至作用状态。换句话说,响应于检测到供应电压降,降检测信号108'处于作用状态。另外,响应于降阈值电压(VDT')小于供应电压(V),由比较器电路106'产生的降检测信号108'转变至非作用状态。换句话说,响应于检测到当前不存在供应电压降,降检测信号108'处于非作用状态。
继续参考图3,供应电压降检测及缓和电路302还包含时钟调整电路110,其经配置以响应于降检测信号108'而调整提供到负载电路102的负载时钟信号CLK_LD'。确切地说,时钟调整电路110包含第一输入节点320,其接收在此方面由锁相回路(PLL)322 产生的根时钟信号CLK_RT'。时钟调整电路110还包含第二输入节点324,其电耦合至比较器电路106'的输出节点318,以使得所述第二输入节点324接收降检测信号108'。此外,时钟调整电路110包含输出节点326,时钟调整电路110经配置以于其上提供负载时钟信号CLK_LD'。如上文先前所描述,响应于检测到供应电压降而调整负载时钟信号CLK_LD'可减少或避免由供应电压降引起的定时故障,同时适应性电压调制电路300 的其它部分调整供应电压(V)以减少供应电压降的发生。
继续参考图3,适应性电压调制电路300还采用包含计数器电路114'的供应电压调整电路328,所述计数器电路经配置以对参考时钟信号CLK_REF的周期的数目进行计数,在所述周期期间,供应电压(V)小于降阈值电压(VDT')。在此方面中,计数器电路 114'用作十六(16)位计数器电路114'。计数器电路114'包含第一输入节点330,所述计数器电路114'经配置以在其上接收降检测信号108'。计数器电路114'还包含第二输入节点 332,计数器电路114'经配置以在其上接收参考时钟信号CLK_REF。计数器电路114'经配置以保持两个单独计数、调高计数UP_CNT及调低计数DN_CNT,其中的每一者对应于特定经定义时段,而不是保持如参考图1所描述的单个计数CNT。更确切地说,计数器电路114'响应于参考时钟信号CLK_REF的每一周期而增大调高计数UP_CNT,其中降检测信号108'在调高期间处于作用状态,并在调高期间的结束时将计数CNT重置为初始计数值。另外,计数器电路114'响应于参考时钟信号CLK_REF的每一周期而增大调低计数DN_CNT,其中降检测信号108'在调低周期期间处于作用状态,并在调低周期期间的结束时将计数CNT重置为初始计数值。
继续参考图3,采用对应于单独的调高及调低时段的单独的调高及调低计数 UP_CNT、DN_CNT允许此方面将较高权重分配给较高性能或较大电力节省。例如,把较高权重施加于较高性能上,可定义调高时段在持续时间上比调低时段更短,以使得相比减小供应电压(V)的决策,增大供应电压(V)的决策更频繁地被评估。相反地,把较高权重施加于较大电力节省上,可定义调低时段在持续时间上比调高时段更短,以使得相比增大供应电压(V)的决策,减小供应电压(V)的决策更频繁地被评估。为传达调高及调低计数UP_CNT、DN_CNT,计数器电路114'包含第一输出节点334(1),所述计数器电路114'经配置以于其上提供指示调高计数UP_CNT的上调计数信号336(1);及第二输出节点334(2),所述计数器电路114'经配置以于其上提供指示调低计数DN_CNT的下调计数信号336(2)。
继续参考图3,为了基于调低及调高计数UP_CNT、DN_CNT而调整供应电压(V),供应电压调整电路328还包含调高寄存器338及调低寄存器340。确切地说,调高寄存器338经配置以存储调高阈值(AU),同时调低寄存器340经配置以存储调低阈值(AD)。调高寄存器338包含所述调高寄存器338经配置以于其上提供调高阈值(AU)的输出节点 342。类似地,调低寄存器340包含所述调低寄存器340经配置以于其上提供调低阈值 (AD)的输出节点344。作为非限制性实例,可在测试对应芯片期间确定调高及调低阈值 (AU)、(AD)且将其存储于调高及调低寄存器338、340中。
继续参考图3,供应电压调整电路328也包含经配置以响应于调高计数UP_CNT大于调高阈值(AU)而产生电压调高信号118'的电压调高电路116'。确切地说,电压调高电路116'包含电耦合至计数器电路114'的第一输出节点334(1)以接收上调计数信号336(1) 的第一输入节点346。电压调高电路116'也包含电耦合至调高寄存器338的输出节点342 以接收调高阈值(AU)的第二输入节点348。电压调高电路116'进一步包含输出节点350,电压调高电路116'经配置以于所述输出节点350上提供电压调高信号118'。确切地说,电压调高信号118'最初处于非作用状态,其中电压调高电路116'响应于通过上调计数信号336(1)所指示的调高计数UP_CNT大于在经定义时段期间的任何时间的调高阈值 (AU)而产生处于作用状态的电压调高信号118'。另外,电压调高电路116'响应于通过上调计数信号336(1)所指示的调高计数UP_CNT小于调高周期的结束时的调高阈值(AU) 而将电压调高信号118'重置为非作用状态。以此方式,若负载电路102在较大百分比的调高时段内经历供应电压降,则电压调高信号118'处于作用状态,所述信号指示供应电压(V)应经增大从而减少或避免供应电压降。此外,响应于来自供应电压控制器电路124 的应答信号指示供应电压(V)已经调低,可产生处于非作用状态的电压调高信号118',其中所述应答信号也重置计数UP_CNT。
继续参考图3,供应电压调高电路328也包含经配置以响应于调低计数DN_CNT小于在调低时段结束时的调低阈值(AD)产生调低信号122'的电压调低电路120'。确切地说,电压调低电路120'包含电耦合至计数器电路114'的第二输出节点334(2)以便接收下调计数信号336(2)的第一输入节点352。电压调低电路120'还包含电耦合至调低寄存器340 的输出节点344以便接收调低阈值(AD)的第二输入节点354。电压调低电路120'进一步包含输出节点356,电压调低电路120'经配置以于所述输出节点356上提供电压调低信号122'。确切地说,电压调低信号122'最初处于非作用状态,其中电压调低电路120'响应于通过下调计数信号336(2)所指示的调低计数DN_CNT小于调低时段结束时的调低阈值(AD)而产生处于作用状态的电压调低信号122'。另外,电压调低电路120'响应于通过下调计数信号336(2)所指示的调低计数DN_CNT大于调低时段结束时的调低阈值 (AD)而产生处于非作用状态的电压调低信号122'。以此方式,若负载电路102不在特定百分比的调低时段内经历供应电压降,则电压调低信号122'处于作用状态,所述信号指示可减小供应电压(V)以最小化负载电路102的功率消耗。此外,响应于来自供应电压控制器电路124的应答信号指示供应电压(V)已调高,可产生处于非作用状态的电压调低信号122',其中所述应答信号也重置调低计数DN_CNT。
继续参考图3,适应性电压调制电路300还包含经配置以通过发指令给功率管理电路126将供应电压(V)改变为特定电平而调整供应电压(V)的供应电压控制器电路124。如先前所描述,供应电压控制器电路124经配置以响应于电压调高信号118'处于作用状态而增大提供到负载电路102的供应电压(V)。另外,供应电压控制器电路124经配置以响应于电压调低信号122'处于作用状态而减小提供到负载电路102的供应电压(V)。作为非限制性实例,在一些方面中,供应电压控制器电路124可包含有限状态机以确定是增大还是减小供应电压(V)。此类方面也可包含供应电压调低电路328的多个例项,其中的每一者对应于负载电路102的不同例项,所述供应电压调低电路328与供应电压控制器电路124通信。此外,供应电压控制器电路124的其它方面可包含经配置以运行固件以执行上文所描述的功能的控制器电路。以此方式,供应电压控制器电路124可在每一供应电压调低电路328的需求之间进行裁定且根据采用负载电路102的多个例项的芯片的需求调整供应电压(V)。
图4说明用于减少供应电压降并最小化功率消耗的另一示范性适应性电压调制电路 400。适应性电压调制电路400包含某些与图1及3的适应性电压调制电路100及300 共有的组件,其由图1、3及4中的共同元件符号展示,且因此本文将不再描述。
参考图4,适应性电压调制电路400采用供应电压降检测及包含可被实施为关键路径电压监测(CPVM)电路106”的检测电路的缓和电路402。CPVM电路106”经配置以响应于检测到与供应电压(V)相关联的噪声大到足以将供应电压(V)减小到低于降阈值电压 (VDT”)而产生降检测信号108”。作为非限制性实例,CPVM电路106”通过功率输入端 404上的供应电压(V)供电,且包括触发器电路406、408。触发器电路406通过根时钟信号CLK_RT'计时,且包含输出节点410,触发器电路406经配置以于所述输出节点410 上提供输出信号412。输出节点410电耦合至缓冲器416的输入节点414。缓冲器416 具有电耦合至反相器422的输入节点420的输出节点418,其中反相器422的输出节点 424电耦合至基于AND的门428(例如,AND门428)的输入节点426。另外,提供启用信号430至AND门428的第二输入节点432,且AND门428的输出节点434电耦合至触发器电路406的输入节点436。以此方式,触发器电路406响应于启用信号430充当切换式触发器电路。更确切地说,输出信号412在逻辑‘1’值及逻辑‘0’值之间以大致等于根时钟信号CLK_RT'的频率的二分之一(1/2)的频率切换。另外,缓冲器416的输出节点418电耦合至基于XOR的门440(例如,XOR门440)的第一输入节点438。以此方式采用触发器电路406及缓冲器416产生第一路径442。确切地说,所述第一路径442 充当经设计以甚至在供应电压降的最大量期间提供稳定值至XOR门440的第一输入节点438的受控参考路径。
继续参考图4,触发器电路408也通过根时钟信号CLK_RT'计时,且包含电耦合至AND门428的输出节点434的输入节点444及所述触发器电路408经配置以在其上提供输出信号448的输出节点446。以此方式,触发器电路408经配置以充当双态反转触发器电路,其中输出信号448在逻辑‘1’值及逻辑‘0’值之间以大致等于根时钟信号 CLK_RT'的频率的二分之一(1/2)的频率切换。输出节点446电耦合至缓冲器452(1)的输入节点450,所述缓冲器为多个串联连接的缓冲器452(1)至452(N)的第一缓冲器452(1)。在此实例中,缓冲器452(1)至452(N)的数目N经设置以表示与降阈值电压(VDT”)相关的延迟值。此外,缓冲器452(N)的输出节点454(例如,最后一个缓冲器452(N))电耦合至 XOR门440的第二输入节点456。
继续参考图4,表示供应电压降是否出现的XOR门440的输出信号458提供于XOR 门440的输出节点460上。作为非限制性实例,在根时钟信号CLK_RT'的示范性周期中,触发器电路406接收逻辑‘1’值,从而使第一路径442提供逻辑‘1’值至XOR门440 的第一输入节点438。另外,触发器电路408接收逻辑‘1’值。就此而言,在供应电压 (V)具有足够高的值的情况下,对应于触发器电路408及缓冲器452(1)至452(N)的第二路径462可根据对应于缓冲器452(1)至452(N)的延迟将逻辑‘1’值提供到第二输入节点456。响应于第一输入节点438及第二输入节点456两者均接收逻辑‘1’值,输出信号458具有逻辑‘0’值,表示不存在供应电压降。然而,在供应电压(V)降低于降阈值电压(VDT”)的情况下,第二路径462经设计以具有对应于缓冲器452(1)至452(N)的延迟,从而在此实例中产生逻辑‘0’值。因此,基于根时钟信号CLK_RT'的时钟时段暂时提供逻辑‘0’值至第二输入节点456。因此,响应于第二输入节点456接收逻辑‘0’值同时第一输入节点438接收逻辑‘1’值,输出信号458具有逻辑‘1’值,其表示存在供应电压降。作为其它非限制性实例,于根时钟信号CLK_RT'的后续周期上,触发器电路406接收逻辑‘0’值以使第一路径442提供逻辑‘0’值至XOR门440的第一输入节点438。触发器电路408还接收逻辑‘0’值,其中在供应电压(V)不下降至低于降阈值电压(VDT”)的情况下,第二路径462提供逻辑‘0’值至第二输入节点456,导致输出信号458具有表示不存在供应电压降的逻辑‘0’值。然而,在供应电压(V)下降至低于下降阈值电压(VDT”)的情况下,第二路径462提供逻辑‘1’值至第二输入节点456,导致输出信号458具有表示存在供应电压降的逻辑‘1’值。
继续参考图4,CPVM电路106”还包含触发器电路464,所述触发器电路包含经配置以接收输出信号458的输入节点466,且所述触发器电路通过根时钟信号CLK_RT'计时。另外,触发器电路464包含输出节点468,所述触发器电路464经配置以在其上基于输出信号458产生降检测信号108”。确切地说,对应于第二路径462的延迟加对应于 XOR门440的延迟经设计以在供应电压(V)下降至低于降阈值电压(VDT”)时错过触发器电路464的设置时间,使降检测信号108”指示供应电压降。降检测信号108”供如先前所描述的时钟调整电路110及供应电压调整电路328使用。以此方式,可采用CPVM电路 106”以基于上文所描述的基于定时的判定并非图3中所描述的电压比较判定来检测供应电压降。
本文所描述的元件有时被称作用于执行特定功能的装置。就此而言,图1中所说明的检测电路106为“用于响应于供应电压小于降阈值电压而产生处于作用状态的降检测信号的装置”的实例。图1中所说明的检测电路106也为“用于执行供应电压与降阈值电压的比较的装置”及“用于响应于降阈值电压小于供应电压而产生处于非作用状态的降检测信号的装置”的实例。图1中所说明的时钟调整电路110为“用于响应于降检测信号而调整提供到负载电路的负载时钟信号的装置”的实例。图1中所说明的时钟调整电路110也为“用于响应于降检测信号转变至作用状态以使负载时钟信号的频率低于根时钟信号的频率而降低负载时钟信号的频率的装置”的实例。图1中所说明的时钟调整电路110也为“用于响应于降检测信号转变至非作用状态以使负载时钟信号的频率等于或基本上等于根时钟信号的频率而提高负载时钟信号的频率的装置”的实例。图1中所说明的计数器电路114为“用于响应于参考时钟信号的每一周期而增大计数的装置,其中降检测信号处于作用状态”的实例。图1中所说明的计数器电路114也为“用于响应于经定义时段的结束而将计数重置成初始计数值的装置”的实例。
另外,图1中所说明的电压调高电路116为“用于响应于计数大于调高阈值而产生处于作用状态的电压调高信号的装置”的实例。图1中所说明的电压调高电路116也为“用于响应于计数小于调高阈值而产生处于非作用状态的电压调高信号的装置”的实例。图1中所说明的电压调低电路120为“用于响应于计数小于如通过参考时钟信号所测量的经定义时段结束时的调低阈值而产生处于作用状态的电压调低信号的装置”的实例。图1中所说明的电压调低电路120也为“用于响应于计数大于调低阈值而产生处于非作用状态的电压调低信号的装置”的实例。图1中所说明的供应电压控制器电路124为“用于响应于电压调高信号处于作用状态而增加提供到负载电路的供应电压的装置”的实例。图1中所说明的供应电压控制器电路124也为“用于响应于电压调低信号处于作用状态而减小提供到负载电路的供应电压的装置”的实例。图3中所说明的DAC 308为“用于基于数字阈值信号产生降阈值电压的装置,其中数字阈值信号为存储于适应性电压调制电路中的寄存器的降阈值电压的数字表示”的实例。
根据本文揭示的方面的用于调整供应电压以减少供应电压降并最小化功率消耗的适应性电压调制电路可提供或集成于任何基于处理器的装置中。实例包含(但不限于)机顶盒、娱乐单元、导航装置、通信装置、固定位置数据单元、移动位置数据单元、全球定位系统(GPS)装置、移动电话、蜂窝电话、智能电话、会话发起协议(SIP)电话、平板计算机、平板手机、服务器、计算机、便携式计算机、移动计算装置、可穿戴式计算装置(例如,智能手表、健康或健身跟踪器、眼镜等等)、台式计算机、个人数字助理(PDA)、监视器、计算机监视器、电视机、调谐器、收音机、卫星收音机、音乐播放器、数字音乐播放器、便携式音乐播放器、数字视频播放器、视频播放器、数字视频光盘(DVD)播放器、便携式数字视频播放器、汽车、车辆组件、航空电子设备系统、无人机及多旋翼飞行器。
就此而言,图5说明可使用在图1、3及4中分别说明的适应性电压调制电路100、300及400的基于处理器的系统500的实例。在此实例中,基于处理器的系统500包含一或多个中央处理单元(CPU)502,其各自包含一或多个处理器504。CPU 502可具有耦合至处理器504以用于快速存取暂时存储的数据的高速缓存存储器506。CPU 502耦合至系统总线508,且可使包含于基于处理器的系统500中的主控装置及从属装置相互耦合。众所周知,CPU502通过经系统总线508交换地址、控制及数据信息来与这些其它装置通信。举例来说,CPU502可将总线事务请求传达至作为从属装置的一实例的存储器控制器510。尽管图5中未说明,但可提供多个系统总线508,其中每一系统总线508 构成不同网状架构。
其它主控装置及从属装置可连接至系统总线508。如图5中所说明,这些装置可包含(例如)存储器系统512、一或多个输入装置514、一或多个输出装置516、一或多个网络接口装置518及一或多个显示控制器520。输入装置514可包含任何类型的输入装置,包含(但不限于)输入按键、开关、语音处理器等。输出装置516可包含任何类型的输出装置,包含(但不限于)音频指示器、视频指示器、其它视觉指示器等。网络接口装置518 可为经配置以允许交换来自和到达网络522的数据的任何装置。网络522可为任何类型的网络,包含(但不限于)有线或无线网络、私用或公用网络、局域网(LAN)、无线局域网(WLAN)、广域网(WAN)、BLUETOOTHTM网络及因特网。网络接口装置518可经配置以支持所要的任何类型的通信协议。存储器系统512可包含一或多个存储器单元 524(0)至524(M)。
CPU 502也可经配置以经由系统总线508存取显示控制器520以控制发送到一或多个显示器526的信息。显示控制器520将信息发送到显示器526以经由一或多个视频处理器528显示,所述视频处理器将待显示的信息处理成适合于显示器526的格式。显示器526可包含任何类型的显示器,包含(但不限于)阴极射线管(CRT)、液晶显示器(LCD)、等离子体显示器、发光二极管(LED)显示器等。
图6说明可包含射频(RF)组件的无线通信装置600的实例,其中无线通信装置600可包含在图1、3及4中分别说明的适应性电压调制电路100、300及400。就此而言,无线通信装置600可提供于集成电路(IC)602中。作为实例,无线通信装置600可包含任一以上提及的装置或提供于任一以上提及的装置中。如图6中所示,无线通信装置600 包含收发器604及数据处理器606。数据处理器606可包含存储器(图6中未展示)以存储数据及程式代码。收发器604包含支持双向通信的发射器608及接收器610。一般来说,无线通信装置600可包含用于任何数目个通信系统及频带的任何数目个发射器及/ 或接收器。全部或部分收发器604可实施于一或多个模拟IC、RF IC(RFIC)、混合信号 IC等上。
发射器或接收器可通过超外差式架构或直接转换架构实施。在超外差式架构中,信号在多个阶段在RF及基带之间经频率转换,例如,在一个阶段从RF转换为中频(IF),并接着针对接收器在另一阶段从IF转换为基带。在直接转换架构中,信号在一个阶段在RF与基带之间经频率转换。超外差式及直接转换架构可使用不同电路块及/或具有不同需求。在图6中的无线通信装置600中,通过直接转换架构实施发射器608及接收器 610。
在发射路径中,数据处理器606处理待发射的数据且提供I及Q模拟输出信号至发射器608。在示范性无线通信装置600中,数据处理器606包含用于将由数据处理器606 产生的数字信号转换为I及Q模拟输出信号(例如,I及Q输出电流,以供进一步处理) 的DAC 612(1)、612(2)。
在发射器608中,低通滤波器614(1)、614(2)分别滤波I及Q模拟输出信号以移除由先前的数/模转换产生的不合需要的信号。放大器(AMP)616(1)、616(2)分别放大来自低通滤波器614(1)、614(2)的信号,并提供I及Q基带信号。增频转换器618采用从TX LO信号产生器622经过混合器620(1)、620(2)的I及Q发射(TX)本地振荡器(LO)信号来增频转换I及Q基带信号,以提供经增频转换的信号624。滤波器626滤波经增频转换的信号624以移除由增频转换以及接收频带中的噪声产生的不合需要的信号。功率放大器(PA)628放大来自滤波器626的经增频转换的信号624以获得所需输出功率电平且提供发射RF信号。发射RF信号经由双工器或开关630路由且经由天线632发射。
在接收路径中,天线632接收通过基站的信号及提供接收RF信号,所述RF信号经由双工器或开关630路由且提供到低噪声放大器(LNA)634。双工器或开关630经设计以用特定接收(RX)至TX双工器频率间隔操作,以使RX信号与TX信号分离。所接收RF信号通过LNA 634放大并通过滤波器636滤波,以获得所需RF输入信号。降频转换混合器638(1)、638(2)混合具备来自RX LO信号产生器640的I及Q RX LO信号(即, LO_I及LO_Q)的滤波器636的输出以产生I及Q基带信号。通过放大器(AMP)642(1)、 642(2)放大且通过低通滤波器644(1)、644(2)进一步滤波I及Q基带信号以获得I及Q 模拟输入信号,所述I及Q模拟输入信号经提供到数据处理器606。在此实例中,数据处理器606包含用于将I及Q模拟输入信号转换成数字信号以供数据处理器606进一步处理的的模/数转换器(ADC)646(1)、646(2)。
在图6中的无线通信装置600中,TX LO信号产生器622产生用于增频转换的I及 QTX LO信号,同时RX LO信号产生器640产生用于降频转换的I及Q RX LO信号。每一LO信号为具有特定基本频率的周期性信号。TX PLL电路648从数据处理器606 接收定时信息且产生用以调整来自TX LO信号产生器622的I及Q TX LO信号的频率及/或相位的控制信号。类似地,RX PLL电路650从数据处理器606接收定时信息且产生用以调整来自RX LO信号产生器640的I及Q RX LO信号的频率及/或相位的控制信号。
所属领域的技术人员将进一步了解,结合本文中所揭示的方面描述的各种说明性逻辑块、模块、电路及算法可被实施为电子硬件、存储于存储器或另一计算机可读媒体中且由处理器或其它处理装置执行的指令,或此两者的组合。作为实例,本文中所描述的主控装置及从属装置可用于任何电路、硬件组件、集成电路(IC)或IC芯片中。本文中所揭示的存储器可为任何类型及大小的存储器,且可经配置以存储所需的任何类型的信息。为了清楚地说明此可互换性,上文已大体上关于功能性描述了各种说明性组件、块、模块、电路及步骤。如何实施此功能性取决于特定应用、设计选择及/或强加于总系统上的设计约束。所属领域的技术人员可针对每一特定应用以不同方法实施所描述的功能性,但所述实施决策不应解释为引起对本发明的范围的偏离。
可通过处理器、数字信号处理器(DSP)、专用集成电路(ASIC)、现场可编程门阵列(FPGA)或经设计以执行本文中所描述功能的其它可编程逻辑装置、离散门或晶体管逻辑、离散硬件组件或其任何组合来实施或执行结合本文中所揭示的方面而描述的各种说明性逻辑块、模块及电路。处理器可为微处理器,但在替代方案中,处理器可为任何常规处理器、控制器、微控制器或状态机。处理器也可实施为计算装置的组合(例如,DSP 与微处理器的组合、多个微处理器、结合DSP核心的一或多个微处理器,或任何其它此配置)。
本文中所揭示的方面可体现于硬件及存储于硬件中的指令中,且可驻存于(例如)随机存取存储器(RAM)、闪速存储器、只读存储器(ROM)、电可编程ROM(EPROM)、电可擦除可编程ROM(EEPROM)、寄存器、硬盘、可卸除式磁盘、CD-ROM或所属领域中已知的任何其它形式的计算机可读媒体中。示范性存储媒体耦合至处理器,以使得处理器可从存储媒体读取信息且将信息写入至存储媒体。在替代方案中,存储媒体可与处理器成一体。处理器及存储媒体可驻存于ASIC中。ASIC可驻存于远程站中。在替代例中,处理器及存储媒体可作为离散组件而驻存于远程站、基站或服务器中。
还应注意,描述本文中在任何示范性方面中的任一者所描述的操作步骤以提供实例及论述。所描述的操作可依以与所说明的序列外的众多不同序列来执行。此外,可实际上在大量不同步骤中执行单一执行步骤中所描述的操作。另外,可组合示范性方面中所论述的一或多个操作步骤。应理解,如所属领域的技术人员将显而易见,流程图中所说明的操作步骤可经受众多不同修改。所属领域的技术人员也将理解,可使用多种不同技术及技艺中的任一者来表示信息及信号。举例来说,可由电压、电流、电磁波、磁场或磁粒子、光场或光粒子或其任何组合表示贯穿以上描述可能提及的数据、指令、命令、信息、信号、位、符号及码片。
提供本发明的先前描述以使得任何所属领域的技术人员能够进行或使用本发明。所属领域的技术人员将易于了解对本发明的各种修改,且本文中定义的一般原理可在不背离本发明的精神或范围的情况下应用于其它变化中。因此,本发明并不希望限于本文中描述的实例及设计,而应符合与本文中揭示的原理及新颖特征相一致的最广泛范围。

Claims (30)

1.一种适应性电压调制电路,其包括:
供应电压降检测及缓和电路,其包括:
检测电路,其经配置以响应于提供到负载电路的供应电压小于降阈值电压而产生处于作用状态的降检测信号,并响应于所述供应电压大于所述降阈值电压而产生处于非作用状态的所述降检测信号;及
时钟调整电路,其经配置以响应于所述降检测信号转变至作用状态而减小提供到所述负载电路的负载时钟信号的频率,且响应于所述降检测信号转变至非作用状态而增大所述负载时钟信号的所述频率;
供应电压调整电路,其包括:
计数器电路,其经配置以响应于参考时钟信号的每一周期而增大计数,其中所述降检测信号处于作用状态;
电压调高电路,其经配置以响应于所述计数大于调高阈值而产生处于作用状态的电压调高信号;及
电压调低电路,其经配置以响应于所述计数小于通过所述参考时钟信号所测量的经定义时段结束时的调低阈值而产生处于作用状态的电压调低信号;及
供应电压控制器电路,其经配置以:
响应于所述电压调高信号处于作用状态而增大提供到所述负载电路的所述供应电压;及
响应于所述电压调低信号处于作用状态而减小提供到所述负载电路的所述供应电压。
2.根据权利要求1所述的适应性电压调制电路,其中:
所述电压调高电路进一步经配置以响应于所述计数在所述经定义时段结束时小于所述调高阈值而产生处于非作用状态的所述电压调高信号;及
所述电压调低电路进一步经配置以响应于所述计数在所述经定义时段结束时大于所述调低阈值而产生处于非作用状态的所述电压调低信号。
3.根据权利要求1所述的适应性电压调制电路,其中:
所述电压调高电路进一步经配置以响应于所述供应电压控制器电路的应答信号指示所述供应电压已经调高而产生处于非作用状态的所述电压调高信号;及
所述电压调低电路进一步经配置以响应于所述供应电压控制器电路的应答信号指示所述供应电压已经调低而产生处于非作用状态的所述电压调低信号。
4.根据权利要求3所述的适应性电压调制电路,其中所述计数器电路经配置以响应于所述供应电压控制器电路的所述应答信号指示所述供应电压已经调高而将所述计数重置成初始计数值。
5.根据权利要求3所述的适应性电压调制电路,其中所述计数器电路经配置以响应于所述供应电压控制器电路的所述应答信号指示所述供应电压已经调低而将所述计数重置成初始计数值。
6.根据权利要求1所述的适应性电压调制电路,其中所述计数器电路经配置以响应于所述经定义时段结束而将所述计数重置成初始计数值。
7.根据权利要求1所述的适应性电压调制电路,其中所述计数器电路进一步经配置以:
在调高时段期间,响应于所述参考时钟信号的每一周期而增大调高计数,其中所述降检测信号在所述调高时段期间处于作用状态;及
在调低时段期间,响应于所述参考时钟信号的每一周期而增大调低计数,其中所述降检测信号在所述调低时段期间处于作用状态。
8.根据权利要求7所述的适应性电压调制电路,其中所述计数器电路包括:
第一输入节点;
第二输入节点;
第一输出节点;及
第二输出节点;
所述计数器电路经配置以:
在所述计数器电路的所述第一输入节点上接收所述降检测信号;
在所述计数器电路的所述第二输入节点上接收所述参考时钟信号;
在所述计数器电路的所述第一输出节点上提供指示所述调高计数的上调计数信号;及
在所述计数器电路的所述第二输出节点上提供指示所述调低计数的下调计数信号。
9.根据权利要求8所述的适应性电压调制电路,其中所述供应电压调整电路进一步包括:
调高寄存器,其包括输出节点且经配置以:
存储所述调高阈值;及
在所述调高寄存器的所述输出节点上提供所述调高阈值;及
调低寄存器,其包括输出节点且经配置以:
存储所述调低阈值;及
在所述调低寄存器的所述输出节点上提供所述调低阈值。
10.根据权利要求9所述的适应性电压调制电路,其中:
所述电压调高电路包括:
第一输入节点,其电耦合至所述计数器电路的所述第一输出节点;
第二输入节点,其电耦合至所述调高寄存器的所述输出节点;及
输出节点,其电耦合至所述供应电压控制器电路的第一输入节点;
所述电压调高电路经配置以在所述电压调高电路的所述输出节点上提供所述电压调高信号;及
所述电压调低电路包括:
第一输入节点,其电耦合至所述计数器电路的所述第二输出节点;
第二输入节点,其电耦合至所述调低寄存器的所述输出节点;及
输出节点,其电耦合至所述供应电压控制器电路的第二输入节点;
所述电压调低电路经配置以在所述电压调低电路的所述输出节点上提供所述电压调低信号。
11.根据权利要求1所述的适应性电压调制电路,其中所述供应电压降检测及缓和电路进一步包括降阈值寄存器,所述降阈值寄存器包括输出节点且其经配置以:
存储为所述降阈值电压的数字表示的数字阈值信号;及
在所述降阈值寄存器的所述输出节点上提供所述数字阈值信号。
12.根据权利要求11所述的适应性电压调制电路,其中所述供应电压降检测及缓和电路进一步包括:
数/模转换器DAC,其包括:
输入节点,其电耦合至所述降阈值寄存器的所述输出节点;及
输出节点;
所述DAC经配置以在所述DAC的所述输出节点上提供所述降阈值电压;且
其中所述检测电路包括比较器电路,其包括:
第一输入节点,其电耦合至所述DAC的所述输出节点;
第二输入节点,其电耦合至所述供应电压;及
输出节点;
所述比较器电路经配置以在所述比较器电路的所述输出节点上提供所述降检测信号,其中:
所述降检测信号响应于所述降阈值电压大于所述供应电压而转变至作用状态;且
所述降检测信号响应于所述降阈值电压小于所述供应电压而转变至非作用状态。
13.根据权利要求12所述的适应性电压调制电路,其中所述时钟调整电路包括:
第一输入节点,其接收主时钟信号;
第二输入节点,其电耦合至所述比较器电路的所述输出节点;及
输出节点;
所述时钟调整电路经配置以在所述时钟调整电路的所述输出节点上提供所述负载时钟信号,其中所述负载时钟信号基于所述主时钟信号。
14.根据权利要求13所述的适应性电压调制电路,其中:
响应于所述降检测信号转变至所述作用状态,通过减小所述负载时钟信号的所述频率使所述负载时钟信号的所述频率低于所述主时钟信号的频率;且
响应于所述降检测信号转变至所述非作用状态,通过增大所述负载时钟信号的所述频率使所述负载时钟信号的所述频率等于所述主时钟信号的频率。
15.根据权利要求1所述的适应性电压调制电路,其中所述检测电路包括关键路径电压监测电路,所述关键路径电压监测电路由所述供应电压供电且包含:
第一路径,其包括:
第一触发器电路,其经配置以通过主时钟信号计时,其包括:
输入节点;及
输出节点;
缓冲器,其包括:
输入节点,其电耦合至所述第一触发器电路的所述输出节点;及
输出节点;
反相器,其包括:
输入节点,其电耦合至所述缓冲器的所述输出节点;及
输出节点;
基于AND的门,其包括:
第一输入节点,其电耦合至所述反相器的所述输出节点;
第二输入节点,其经配置以接收启用信号;及
输出节点,其电耦合至所述第一触发器电路的输入节点;
第二路径,其包括:
第二触发器电路,其经配置以通过所述主时钟信号计时,其包括:
输入节点,其电耦合至所述基于AND的门的所述输出节点;及
输出节点;及
多个串联缓冲器,其中:
所述多个串联缓冲器中的第一缓冲器包括电耦合至所述第二触发器电路的所述输出节点的输入节点;且
所述多个串联缓冲器中的最后一个缓冲器包括输出节点;
基于XOR的门,其包括:
第一输入节点,其电耦合至所述第一路径的所述缓冲器的所述输出节点;
第二输入节点,其电耦合至所述第二路径的所述多个串联缓冲器中的所述最后一个缓冲器的所述输出节点;及
输出节点,所述基于XOR的门经配置以于其输出节点上提供输出信号;及
触发器电路,其经配置以通过所述主时钟信号计时,其包括:
输入节点,其电耦合至所述基于XOR的门的所述输出节点;及
输出节点,所述触发器电路经配置以于其输出节点上提供所述降检测信号。
16.根据权利要求1所述的适应性电压调制电路,其集成到集成电路IC中。
17.根据权利要求1所述的适应性电压调制电路,其集成到选自以下设备的一或多者的装置中:计算机;监视器;电视机;调谐器;音乐播放器;或视频播放器。
18.一种适应性电压调制电路,其包括:
用于响应于提供到负载电路的供应电压小于降阈值电压而产生处于作用状态的降检测信号,并响应于所述供应电压大于所述降阈值电压而产生处于非作用状态的所述降检测信号的装置;
用于响应于所述降检测信号转变至作用状态而减小提供到负载电路的负载时钟信号的频率,且响应于所述降检测信号转变至非作用状态而增大所述负载时钟信号的所述频率的装置;
用于响应于参考时钟信号的每一周期而增大计数的装置,其中所述降检测信号处于作用状态;
用于响应于所述计数大于调高阈值而产生处于作用状态的电压调高信号的装置;用于响应于所述计数小于通过所述参考时钟信号所测量的经定义时段结束时的调低阈值而产生处于作用状态的电压调低信号的装置;
用于响应于所述电压调高信号处于作用状态而增大提供到所述负载电路的所述供应电压的装置;及
用于响应于所述电压调低信号处于作用状态而减小提供到所述负载电路的所述供应电压的装置。
19.根据权利要求18所述的适应性电压调制电路,其进一步包括:
用于响应于在所述经定义时段结束时所述计数小于所述调高阈值而产生处于非作用状态的所述电压调高信号的装置;及
用于响应于在所述经定义时段结束时所述计数大于所述调低阈值而产生处于非作用状态的所述电压调低信号的装置。
20.根据权利要求18所述的适应性电压调制电路,其进一步包括用于响应于所述经定义时段结束而将所述计数重置成初始计数值的装置。
21.根据权利要求18所述的适应性电压调制电路,其进一步包括用于基于数字阈值信号产生所述降阈值电压的装置,其中所述数字阈值信号为存储于所述适应性电压调制电路中的寄存器中的所述降阈值电压的数字表示。
22.根据权利要求18所述的适应性电压调制电路,其进一步包括:
用于执行所述供应电压与所述降阈值电压的比较的装置;及
用于响应于所述降阈值电压小于所述供应电压而产生处于非作用状态的所述降检测信号的装置。
23.根据权利要求22所述的适应性电压调制电路,其中:
响应于所述降检测信号转变至所述作用状态,通过减小所述负载时钟信号的所述频率使所述负载时钟信号的所述频率低于主时钟信号的频率;及
响应于所述降检测信号转变至所述非作用状态,通过增大所述负载时钟信号的所述频率使所述负载时钟信号的所述频率等于所述主时钟信号的频率。
24.一种用于适应性地调制供应电压的方法,其包括:
响应于提供到负载电路的供应电压小于降阈值电压而产生处于作用状态的降检测信号,并响应于所述供应电压大于所述降阈值电压而产生处于非作用状态的所述降检测信号;
响应于所述降检测信号转变至作用状态而减小提供到负载电路的负载时钟信号的频率,且响应于所述降检测信号转变至非作用状态而增大所述负载时钟信号的所述频率;
响应于参考时钟信号的每一周期而增大计数,其中所述降检测信号处于作用状态;
响应于所述计数大于调高阈值而产生处于作用状态的电压调高信号;
响应于所述计数小于通过所述参考时钟信号所测量的经定义时段结束时的调低阈值而产生处于作用状态的电压调低信号;
响应于所述电压调高信号处于作用状态而增加提供到所述负载电路的所述供应电压;及
响应于所述电压调低信号处于作用状态而减小提供到所述负载电路的所述供应电压。
25.根据权利要求24所述的方法,其进一步包括:
响应于所述计数在所述经定义时段结束时小于所述调高阈值而产生处于非作用状态的所述电压调高信号;及
响应于所述计数在所述经定义时段结束时大于所述调低阈值而产生处于非作用状态的所述电压调低信号。
26.根据权利要求24所述的方法,其进一步包括响应于所述经定义时段结束而将所述计数重置成初始计数值。
27.根据权利要求24所述的方法,其进一步包括基于数字阈值信号产生所述降阈值电压,其中所述数字阈值信号为所述降阈值电压的数字表示。
28.根据权利要求24所述的方法,其中产生所述降检测信号包括:
执行所述供应电压与所述降阈值电压的比较;及
响应于所述降阈值电压小于所述供应电压而产生处于非作用状态的所述降检测信号。
29.根据权利要求28所述的方法,其中:
响应于所述降检测信号处于所述作用状态,通过减小所述负载时钟信号的所述频率使所述负载时钟信号的所述频率低于主时钟信号的频率;且
响应于所述降检测信号处于所述非作用状态,通过增大所述负载时钟信号的所述频率使所述负载时钟信号的所述频率等于所述主时钟信号的频率。
30.一种基于处理器的系统,其包括:
处理器;
功率管理电路,其经配置以提供供应电压至所述处理器;及
适应性电压调制电路,其包括:
供应电压降检测及缓和电路,其包括:
检测电路,其经配置以响应于所述供应电压小于降阈值电压而产生处于作用状态的降检测信号,并响应于所述供应电压大于所述降阈值电压而产生处于非作用状态的所述降检测信号;及
时钟调整电路,其经配置以响应于所述降检测信号转变至作用状态而减小提供到所述处理器的负载时钟信号的频率,且响应于所述降检测信号转变至非作用状态而增大所述负载时钟信号的所述频率;
供应电压调整电路,其包括:
计数器电路,其经配置以响应于参考时钟信号的每一周期而增大计数,其中所述降检测信号处于作用状态;
电压调高电路,其经配置以响应于所述计数大于调高阈值而产生处于作用状态的电压调高信号;及
电压调低电路,其经配置以响应于所述计数小于通过所述参考时钟信号所测量的经定义时段结束时的调低阈值而产生处于作用状态的电压调低信号;及
供应电压控制器电路,其经配置以:
响应于所述电压调高信号处于作用状态而增大提供到所述处理器的所述供应电压;且
响应于所述电压调低信号处于作用状态而减小提供到所述处理器的所述供应电压。
CN201780028329.0A 2016-05-27 2017-05-25 用于适应性电压调制的电路、方法及系统 Active CN109247044B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662342638P 2016-05-27 2016-05-27
US62/342,638 2016-05-27
US15/604,038 2017-05-24
US15/604,038 US10635159B2 (en) 2016-05-27 2017-05-24 Adaptive voltage modulation circuits for adjusting supply voltage to reduce supply voltage droops and minimize power consumption
PCT/US2017/034402 WO2017205583A1 (en) 2016-05-27 2017-05-25 Adaptive voltage modulation circuits for adjusting supply voltage to reduce supply voltage droops and minimize power consumption

Publications (2)

Publication Number Publication Date
CN109247044A CN109247044A (zh) 2019-01-18
CN109247044B true CN109247044B (zh) 2020-10-16

Family

ID=59009811

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780028329.0A Active CN109247044B (zh) 2016-05-27 2017-05-25 用于适应性电压调制的电路、方法及系统

Country Status (10)

Country Link
US (1) US10635159B2 (zh)
EP (1) EP3465895B1 (zh)
JP (1) JP6768842B2 (zh)
KR (1) KR102168501B1 (zh)
CN (1) CN109247044B (zh)
BR (1) BR112018074272B1 (zh)
ES (1) ES2886590T3 (zh)
SG (1) SG11201808927WA (zh)
TW (1) TWI763671B (zh)
WO (1) WO2017205583A1 (zh)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230020571A (ko) 2017-11-15 2023-02-10 프로틴텍스 엘티디. 집적 회로 마진 측정 및 고장 예측 장치
US11740281B2 (en) 2018-01-08 2023-08-29 Proteantecs Ltd. Integrated circuit degradation estimation and time-of-failure prediction using workload and margin sensing
US11223898B2 (en) 2018-02-05 2022-01-11 Radio Sound, Inc. Audio system including speakers with integrated amplifier and method of detecting speakers
TWI828676B (zh) 2018-04-16 2024-01-11 以色列商普騰泰克斯有限公司 用於積體電路剖析及異常檢測之方法和相關的電腦程式產品
WO2020141516A1 (en) 2018-12-30 2020-07-09 Proteantecs Ltd. Integrated circuit i/o integrity and degradation monitoring
US10686582B1 (en) * 2019-02-25 2020-06-16 Intel Corporation Clock phase compensation apparatus and method
US20190377405A1 (en) * 2019-03-29 2019-12-12 Intel Corporation Input Voltage Protection
EP4070315A4 (en) 2019-12-04 2023-11-29 Proteantecs Ltd. MONITORING DEGRADATION OF A STORAGE DEVICE
GB2590660B (en) * 2019-12-23 2022-01-05 Graphcore Ltd Reactive droop limiter
US11681311B2 (en) * 2020-04-02 2023-06-20 Canon Kabushiki Kaisha Circuit and method for controlling power supply voltage based on predicted voltage drop
JP7309658B2 (ja) * 2020-05-22 2023-07-18 ルネサスエレクトロニクス株式会社 半導体装置
US11249530B1 (en) * 2020-11-25 2022-02-15 Qualcomm Incorporated Adaptive voltage controller
US11449125B1 (en) * 2021-04-01 2022-09-20 Qualcomm Incorporated Adaptive dynamic clock and voltage scaling
CN117222960A (zh) * 2021-04-07 2023-12-12 普腾泰克斯有限公司 基于时钟循环时间测量的自适应频率缩放
CN113157076B (zh) * 2021-04-22 2024-01-30 中科可控信息产业有限公司 一种电子设备及功耗控制方法
KR20220159029A (ko) * 2021-05-25 2022-12-02 삼성전자주식회사 동적 전력 모니터 및 주파수 컨트롤러를 포함하는 시스템-온-칩 및 이의 동작 방법
US20230071427A1 (en) * 2021-09-08 2023-03-09 International Business Machines Corporation Providing deterministic frequency and voltage enhancements for a processor
CN114237345A (zh) * 2021-12-17 2022-03-25 合肥智芯半导体有限公司 芯片及基于芯片工作负载检测的系统时钟自适应扩频装置
CN114706449B (zh) * 2022-03-28 2024-04-26 杭州中天微系统有限公司 基于自适应时钟的频率控制方法、电路及芯片
CN114815948B (zh) * 2022-05-23 2024-02-20 杭州中天微系统有限公司 自适应时钟电路、芯片及电压调整方法、装置
US11815551B1 (en) 2022-06-07 2023-11-14 Proteantecs Ltd. Die-to-die connectivity monitoring using a clocked receiver
CN115202975B (zh) * 2022-07-15 2024-01-26 摩尔线程智能科技(北京)有限责任公司 控制负载的功率消耗的方法、装置和系统
WO2024018353A1 (en) * 2022-07-19 2024-01-25 International Business Machines Corporation Dynamic guard band with timing protection and with performance protection
US11953982B2 (en) * 2022-07-19 2024-04-09 International Business Machines Corporation Dynamic guard band with timing protection and with performance protection

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7148755B2 (en) 2003-08-26 2006-12-12 Hewlett-Packard Development Company, L.P. System and method to adjust voltage
US6912144B1 (en) * 2004-08-19 2005-06-28 International Rectifier Corporation Method and apparatus for adjusting current amongst phases of a multi-phase converter
JP4665480B2 (ja) * 2004-10-26 2011-04-06 パナソニック電工株式会社 放電灯点灯装置、照明器具、および照明システム
PT2036181E (pt) * 2006-06-30 2010-11-29 Abb Technology Ag Sistema hvdc e método para controlar um conversor de fonte de tensão num sistema hvdc
US7915910B2 (en) * 2009-01-28 2011-03-29 Apple Inc. Dynamic voltage and frequency management
KR101566200B1 (ko) * 2009-12-09 2015-11-05 삼성전자 주식회사 디스플레이장치 및 그 구동방법
US8963904B2 (en) * 2010-03-22 2015-02-24 Apple Inc. Clock feedthrough and crosstalk reduction method
US8648645B2 (en) 2010-05-25 2014-02-11 Oracle International Corporation Microprocessor performance and power optimization through self calibrated inductive voltage droop monitoring and correction
US9124171B2 (en) * 2010-07-28 2015-09-01 James Roy Young Adaptive current limiter and dimmer system including the same
KR101740338B1 (ko) * 2010-10-20 2017-05-26 삼성전자주식회사 디지털 시스템에서 동적 클럭 제어 장치 및 방법
US8825170B2 (en) * 2010-10-29 2014-09-02 Medtronic, Inc. Low-power system clock calibration based on a high-accuracy reference clock
US20120187991A1 (en) 2011-01-25 2012-07-26 Advanced Micro Devices, Inc. Clock stretcher for voltage droop mitigation
US9252661B2 (en) * 2011-04-01 2016-02-02 Qualcomm Inc. Methods and devices for power supply control
US9164563B2 (en) 2012-05-24 2015-10-20 International Business Machines Corporation Processor noise mitigation using differential critical path monitoring
US8984308B2 (en) * 2012-12-03 2015-03-17 Qualcomm Incorporated System and method of adaptive voltage scaling
US10698432B2 (en) 2013-03-13 2020-06-30 Intel Corporation Dual loop digital low drop regulator and current sharing control apparatus for distributable voltage regulators
US8933737B1 (en) 2013-06-28 2015-01-13 Stmicroelectronics International N.V. System and method for variable frequency clock generation
US9413344B2 (en) * 2014-09-08 2016-08-09 Qualcomm Incorporated Automatic calibration circuits for operational calibration of critical-path time delays in adaptive clock distribution systems, and related methods and systems
US9753525B2 (en) 2014-12-23 2017-09-05 Intel Corporation Systems and methods for core droop mitigation based on license state
US10248177B2 (en) * 2015-05-22 2019-04-02 Advanced Micro Devices, Inc. Droop detection and regulation for processor tiles

Also Published As

Publication number Publication date
US20170344102A1 (en) 2017-11-30
BR112018074272B1 (pt) 2023-04-18
BR112018074272A2 (pt) 2019-03-12
TWI763671B (zh) 2022-05-11
TW201817149A (zh) 2018-05-01
US10635159B2 (en) 2020-04-28
CN109247044A (zh) 2019-01-18
JP6768842B2 (ja) 2020-10-14
JP2019517763A (ja) 2019-06-24
ES2886590T3 (es) 2021-12-20
SG11201808927WA (en) 2018-12-28
EP3465895B1 (en) 2021-08-18
KR20190013768A (ko) 2019-02-11
WO2017205583A1 (en) 2017-11-30
EP3465895A1 (en) 2019-04-10
KR102168501B1 (ko) 2020-10-21

Similar Documents

Publication Publication Date Title
CN109247044B (zh) 用于适应性电压调制的电路、方法及系统
US10587250B2 (en) Current-starving in tunable-length delay (TLD) circuits employable in adaptive clock distribution (ACD) systems for compensating supply voltage droops in integrated circuits (ICs)
CN109075789B (zh) 一种功率多路复用系统及方法
US7996704B2 (en) Asynchronous first in first out interface and operation method thereof
KR101304354B1 (ko) 국부 발진기 신호를 위한 듀티 사이클 조정
US10727838B2 (en) Systems and methods for power conservation in a phase locked loop (PLL)
US11349468B2 (en) Target voltage circuit for fast voltage switching
US9480017B2 (en) Dynamic power management control
US10199937B1 (en) Methods and apparatus to digitally control pulse frequency modulation pulses in power converters
US10355725B2 (en) Jitter improvement in serializer-deserializer (SerDes) transmitters
US10158368B2 (en) Digital-to-analog converter (DAC) with partial constant switching
US10447292B1 (en) Multiple-bit parallel successive approximation register (SAR) analog-to-digital converter (ADC) circuits
US9112508B2 (en) Adaptive powered local oscillator generator circuit and related method
US20140071784A1 (en) Frequency selection granularity for integrated circuits
US10425095B1 (en) Multiple-bit parallel successive approximation (SA) flash analog-to-digital converter (ADC) circuits
CN110301094B (zh) 在受控延迟线路中采用相位误差检测的多相位时钟生成
US10310585B2 (en) Replacement physical layer (PHY) for low-speed peripheral component interconnect (PCI) express (PCIe) systems
KR20240063116A (ko) 파이프라인 아날로그-디지털 변환

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
REG Reference to a national code

Ref country code: HK

Ref legal event code: DE

Ref document number: 1260209

Country of ref document: HK

GR01 Patent grant
GR01 Patent grant