CN108987229A - 高温衬底基座模块及其组件 - Google Patents

高温衬底基座模块及其组件 Download PDF

Info

Publication number
CN108987229A
CN108987229A CN201810537225.9A CN201810537225A CN108987229A CN 108987229 A CN108987229 A CN 108987229A CN 201810537225 A CN201810537225 A CN 201810537225A CN 108987229 A CN108987229 A CN 108987229A
Authority
CN
China
Prior art keywords
electrode
platen
bar
pipeline
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810537225.9A
Other languages
English (en)
Other versions
CN108987229B (zh
Inventor
拉姆基什安·拉奥·林安帕里
乔尔·霍林斯沃思
布兰得利·贝克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN202310024339.4A priority Critical patent/CN116153754A/zh
Publication of CN108987229A publication Critical patent/CN108987229A/zh
Application granted granted Critical
Publication of CN108987229B publication Critical patent/CN108987229B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明涉及高温衬底基座模块及其组件。一种半导体衬底处理装置包括:具有处理区域的真空室,在所述处理区域中能处理半导体衬底;工艺气体源,其与所述真空室流体连通,以供给工艺气体到所述真空室中;喷头模块,来自所述工艺气体源的工艺气体通过该喷头模块供给到所述真空室的所述处理区域;以及衬底基座模块。衬底基座模块包括:由陶瓷材料制成的基座,所述基座具有上表面,所述上表面被配置成在处理期间支撑在其上的半导体衬底;杆,其由陶瓷材料制成;和位于所述杆的内部的由金属化陶瓷材料制成的背部气体管路。金属化陶瓷管路可用于将背部气体输送至衬底并将RF功率供应至基座中的嵌入式电极。

Description

高温衬底基座模块及其组件
技术领域
本发明涉及用于处理半导体衬底的半导体衬底处理装置,并且可以发现在可操作以沉积薄膜的等离子体增强化学气相沉积处理装置中的特定用途。
背景技术
半导体衬底处理装置用于通过包括蚀刻、物理气相沉积(PVD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、脉冲沉积层(PDL)、等离子体增强脉冲沉积层(PEPDL)和抗蚀剂去除的技术处理半导体衬底。半导体衬底处理装置的一种类型是包括含有上电极和下电极的反应室的等离子体处理装置,其中在电极之间施加射频(RF)功率,以将工艺气体激发成用于处理反应室中的半导体衬底的等离子体。
发明内容
本发明公开了一种用于处理半导体衬底的半导体衬底处理装置,其包括:包括处理区域的真空室,在所述处理区域中能处理半导体衬底;工艺气体源,其与所述真空室流体连通,以供给工艺气体到所述真空室中;喷头模块,来自所述工艺气体源的工艺气体通过该喷头模块供给到所述真空室的所述处理区域;以及衬底基座模块,其包括:由陶瓷材料制成的台板,所述台板具有上表面,所述上表面被配置成在处理期间支撑在其上的半导体衬底;杆,其由陶瓷材料制成,所述杆具有支撑所述台板的上部杆凸缘;和位于所述杆的内部的由金属化陶瓷材料制成的至少一个背部气体管路,所述背部气体管路被配置成向所述台板的所述上表面供应背部气体并向嵌入所述台板中的电极供应功率。
根据一种实施方式,所述电极是静电夹持电极、RF电极或其组合。所述台板可以包括嵌入其中的一个或多个电阻加热器,其中所述加热器电连接到位于所述杆内的金属馈送棒或金属化陶瓷馈送棒。所述衬底基座模块还可以包括热电偶,所述热电偶被配置为测量所述台板的温度,其中所述热电偶位于陶瓷管路内部,所述陶瓷管路在所述杆内的位置连接到所述台板的下侧。优选地,所述背部气体管路、所述台板和所述杆由与氮化铝相同的陶瓷材料形成和/或所述背部气体管路居中定位于所述杆的内部。在一示例性实施方式中,所述台板包括嵌入其中的外部RF电极以及嵌入其中的内部静电夹持(ESC)电极,所述内部ESC电极与所述外部RF电极共面,所述外部RF电极包括电连接到所述杆内的金属化陶瓷管路的径向延伸馈送带,并且所述内部ESC电极电连接到所述杆内的成对的金属化陶瓷馈送棒或金属化陶瓷管路。在另一实施方式中,所述金属化陶瓷管路通过应力释放连接件而连接到所述电极,所述应力释放连接件被配置成改变形状以适应所述金属化陶瓷管路与所述电极之间的不同热膨胀。所述金属化陶瓷管路可以具有比所述杆的长度大的长度和/或包括在其外表面上的导电涂层。
在一示例性实施方式中,所述至少一个背部气体管路包括第一金属化陶瓷管路、第二金属化陶瓷管路和第三金属化陶瓷管路,并且所述台板包括第一共面电极、第二共面电极和第三共面电极,其中所述第一电极是具有与所述第一金属化陶瓷管路电连接的对角延伸馈送带的外部环形电极,所述第二电极和所述第三电极是与所述第二金属化陶瓷管路和所述第三金属化陶瓷管路电连接的内部D形电极。所述第一金属化陶瓷管路可以与第一气体通道流体连通,所述第一气体通道延伸穿过所述对角延伸的馈送带和在所述台板的上表面的中心处的第一出口。所述第二金属化陶瓷管路可以与第二气体通道流体连通,所述第二气体通道延伸穿过所述第二电极和在所述台板的上表面中与所述第一出口间隔第一距离的第二出口。所述第三金属化陶瓷管路可以与第三气体通道流体连通,所述第三气体通道延伸穿过所述第三电极和在所述台板的所述上表面中与所述第一出口间隔第二距离的第三出口,其中所述第一距离和所述第二距离不大于约1英寸。
本发明还公开了一种用于在真空室内处理半导体衬底的半导体衬底支撑模块,在所述处理区域中可以处理半导体衬底。所述衬底支撑模块包括:由陶瓷材料制成的台板,所述台板具有上表面,所述上表面被配置成在处理期间支撑在其上的半导体衬底;杆,其由陶瓷材料制成,所述杆具有支撑所述台板的上部杆凸缘;和位于所述杆的内部的由金属化陶瓷材料制成的背部气体管路,所述背部气体管路被配置成向所述台板的所述上表面供应背部气体并向嵌入所述台板中的电极供应功率。
具体而言,本发明的一些方面可以阐述如下:
1.一种用于处理半导体衬底的半导体衬底处理装置,其包括:
包括处理区域的真空室,在所述处理区域中能处理半导体衬底;
工艺气体源,其与所述真空室流体连通,以供给工艺气体到所述真空室中;
喷头模块,来自所述工艺气体源的工艺气体通过该喷头模块供给到所述真空室的所述处理区域;以及
衬底基座模块,其包括:由陶瓷材料制成的台板,所述台板具有上表面,所述上表面被配置成在处理期间支撑在其上的半导体衬底;杆,其由陶瓷材料制成,所述杆具有支撑所述台板的上部杆凸缘;和位于所述杆的内部的由金属化陶瓷材料制成的背部气体管路,所述背部气体管路被配置成向所述台板的所述上表面供应背部气体并向嵌入所述台板中的电极供应功率。
2.根据条款1所述的半导体衬底处理装置,其中,所述电极是静电夹持电极。
3.根据条款1所述的半导体衬底处理装置,其中,所述电极是RF电极。
4.根据条款1所述的半导体衬底处理装置,其中所述台板包括嵌入其中的一个或多个电阻加热器,并且所述加热器电连接到位于所述杆内的金属化陶瓷馈送棒或金属馈送棒。
5.根据条款1所述的半导体衬底处理装置,其中,所述衬底基座模块还包括热电偶,所述热电偶被配置为测量所述台板的温度,所述热电偶位于陶瓷管路内部,所述陶瓷管路在所述杆内的位置连接到所述台板的下侧。
6.根据条款1所述的半导体衬底处理装置,其中所述背部气体管路、所述台板和所述杆由氮化铝形成。
7.根据条款1所述的半导体衬底处理装置,其中,所述背部气体管路居中定位于所述杆的内部。
8.根据条款1所述的半导体衬底处理装置,其中,所述台板包括嵌入其中的外部RF电极以及嵌入其中的内部静电夹持(ESC)电极,所述内部ESC电极与所述外部RF电极共面,所述外部RF电极电连接到所述杆内的金属化陶瓷功率馈送棒,并且所述内部ESC电极中的每一个电连接到所述杆内的成对的金属化陶瓷馈送棒。
9.根据条款1所述的半导体衬底处理装置,其中所述金属化陶瓷管路通过应力释放连接件而连接到所述电极,所述应力释放连接件被配置成改变形状以适应所述金属化陶瓷管路与所述电极之间的不同热膨胀。
10.一种用于在真空室中处理半导体衬底的半导体衬底支撑模块,所述真空室包括处理区域,在所述处理区域中能处理半导体衬底,所述衬底支撑模块包括:
由陶瓷材料制成的台板,所述台板具有上表面,所述上表面被配置成在处理期间支撑在其上的半导体衬底;
杆,其由陶瓷材料制成,所述杆具有支撑所述台板的上部杆凸缘;和
位于所述杆的内部的由金属化陶瓷材料制成的至少一个背部气体管路,所述背部气体管路被配置成向所述台板的所述上表面供应背部气体并向嵌入所述台板中的电极供应功率。
11.根据条款10所述的衬底支撑模块,其中,所述电极是静电夹持电极。
12.根据条款10所述的衬底支撑模块,其中,所述电极是RF电极。
13.根据条款10所述的衬底支撑模块,其中所述台板包括嵌入其中的一个或多个电阻加热器,并且所述加热器电连接到位于所述杆内的金属化陶瓷馈送棒或金属馈送棒。
14.根据条款10所述的衬底支撑模块,其中,所述衬底基座模块还包括热电偶,所述热电偶被配置为测量所述台板的温度,所述热电偶位于陶瓷管路内部,所述陶瓷管路在所述杆内的位置连接到所述台板的下侧。
15.根据条款10所述的衬底支撑模块,其中所述背部气体管路、所述台板和所述杆由氮化铝形成。
16.根据条款10所述的衬底支撑模块,其中,所述背部气体管路居中定位于所述杆的内部。
17.根据条款10所述的衬底支撑模块,其中,所述台板包括嵌入其中的外部RF电极以及嵌入其中的内部静电夹持(ESC)电极,所述内部ESC电极与所述外部RF电极共面,所述外部RF电极电连接到所述杆内的金属化陶瓷功率馈送棒,并且所述内部ESC电极中的每一个电连接到所述杆内的成对的金属化陶瓷馈送棒。
18.根据条款10所述的衬底支撑模块,其中所述金属化陶瓷管路通过应力释放连接件而连接到所述电极,所述应力释放连接件被配置成改变形状以适应所述金属化陶瓷管路与所述电极之间的不同热膨胀。
19.根据条款10所述的衬底支撑模块,其中,所述金属化陶瓷管路具有比所述杆的长度大的长度,并且所述金属化陶瓷管路仅在其外表面上包括导电涂层。
20.根据条款10所述的衬底支撑模块,其中所述至少一个背部气体管路包括第一金属化陶瓷管路、第二金属化陶瓷管路和第三金属化陶瓷管路,并且所述台板包括第一共面电极、第二共面电极和第三共面电极,其中所述第一电极是具有与所述第一金属化陶瓷管路电连接的对角延伸馈送带的外部环形电极,所述第二电极和所述第三电极是与所述第二金属化陶瓷管路和所述第三金属化陶瓷管路电连接的内部D形电极,所述第一金属化陶瓷管路与第一气体通道流体连通,所述第一气体通道延伸穿过所述对角延伸的馈送带和在所述台板的上表面的中心处的第一出口,所述第二金属化陶瓷管路与第二气体通道流体连通,所述第二气体通道延伸穿过所述第二电极和在所述台板的所述上表面中与所述第一出口间隔第一距离的第二出口,并且所述第三金属化陶瓷管路与第三气体通道流体连通,所述第三气体通道延伸穿过所述第三电极和在所述台板的所述上表面中与所述第一出口间隔第二距离的第三出口,其中所述第一距离和所述第二距离不大于约1英寸。
附图说明
图1图解了根据本发明所公开的实施方式示出的化学沉积装置的概要示图。
图2示出了根据本文公开的实施方式的衬底基座模块的横截面。
图3示出了图2所示的衬底基座模块的仰视图。
图4是图2中所示的衬底支撑模块的横截面透视图。
图5是图2中所示的衬底支撑模块的顶部透视图。
图6是图2所示的衬底支撑模块的底部透视图。
图7是在杆内部具有单个金属化陶瓷管路的衬底支撑模块的横截面图。
图8是具有连接到嵌入式RF电极的金属化陶瓷管路和两个连接到两个电阻加热器的两个馈送棒的衬底支撑模块的横截面图,所述两个电阻加热器嵌入在RF电极下方的位置处的基座中。
图9是根据一个实施方式的衬底支撑件的俯视图,其中外部环形电极包括通过中央金属化陶瓷管路供应功率的对角馈送带,该中央金属化陶瓷管路通过馈送带中的通道输送惰性气体。
具体实施方式
在下面的详细说明中,为了提供本发明所公开的装置和方法的充分理解,阐述了许多具体的实施方式。但对于本领域技术人员而言,显而易见,在没有这些具体细节的情况下或者通过使用替代的元件或方法,仍可以实施本发明的实施方式。在其他的示例中,为了避免不必要地使本发明所公开的实施方式的方面难以理解,公知的工艺、过程和/或部件没有详细描述。如本文所用的术语“约”是指±10%。
如所指出的,所述实施方式提供用于处理在例如化学气相沉积装置或等离子体增强化学气相沉积装置之类的半导体衬底处理装置中的半导体衬底的装置和相关方法。该装置和方法特别适用于与半导体衬底的高温处理结合使用,所述高温处理如高温沉积处理,其中正被处理的半导体衬底被加热到温度高于约550℃,例如约550℃至约650℃或高于650℃。
本发明所公开的实施方式优选在等离子体增强化学沉积装置(即PECVD装置、PEALD装置或PEPDL装置)中实施,然而,它们并不限于此。
图1提供了描绘布置用于实施根据本发明所公开的实施方式所述的各种半导体衬底等离子体处理装置的组件的简单框图。如图所示,半导体衬底等离子体处理装置100包括用于容纳处理区域中的等离子体的真空室102,所述等离子体可以通过其中具有上RF电极(未示出)的喷头模块104与其中具有下RF电极(未示出)的衬底基座模块106结合工作来产生。至少一个RF产生器可操作以供给RF能量到真空室102中的半导体衬底108的上表面上方的处理区域,以将供给到真空室102的处理区域中的工艺气体激励成等离子体,使得等离子体沉积处理可在真空室102中进行。例如,高频RF产生器110和低频RF产生器112的每一个可以连接到匹配网络114,匹配网络114连接至喷头模块104的上RF电极,使得RF能量可被供给到真空室102中的半导体衬底108上方的处理区域。
通过匹配网络114供给到真空室102的内部的RF能量的功率和频率足以使等离子体从工艺气体产生。在一个实施方式中,使用高频RF产生器110和低频RF产生器112两者,而在替代的实施方式中,仅使用高频RF产生器110。在处理中,高频RF产生器110可以在约2-100MHz的频率下操作;在优选实施方式中,高频RF产生器110可以在13.56MHz或27MHz的频率下操作。低频RF产生器112可以在约50kHz至2MHz下操作;在优选的实施方式中,可以在约350kHz至600kHz下操作。工艺参数可基于室体积、衬底尺寸和其他因素按比例调节。同样地,工艺气体的流率可取决于真空室或处理区域的自由体积。
衬底基座模块106的上表面支撑在处理期间在真空室102内的半导体衬底108。衬底基座模块106可以包括卡盘以容纳半导体衬底,和/或升降销以在沉积和/或等离子体处理工艺之前、期间和/或之后升高和降低半导体衬底。在一替代的实施方式中,衬底基座模块106可以包括承载环以在沉积和/或等离子体处理工艺之前、期间和/或之后升高和降低半导体衬底。卡盘可以是静电卡盘、机械卡盘,或如可用于工业和/或研究用途的各种其它类型的卡盘。在共同转让的美国专利No.8,840,754中可发现用于包含静电卡盘的衬底基座模块的升降销组件的细节,该专利的全部内容通过引用并入本文。在共同转让的美国专利No.6,860,965中可发现用于衬底基座模块的承载环的细节,该专利的全部内容通过引用并入本文。背部气体供应器116可操作以在处理期间供应热传输气体或净化气体通过衬底基座模块106到达半导体衬底的下表面下方的区域。衬底基座模块106包括在其中的下RF电极,其中下RF电极在处理期间优选地接地,然而在替代实施方式中,下RF电极在处理期间可被供给有RF能量。
为了处理半导体衬底等离子体处理装置100的真空室102中的半导体衬底,将工艺气体从工艺气体源118经由入口120和喷头模块104引入真空室102,其中用RF能量使工艺气体形成等离子体,使得膜可以被沉积在半导体衬底的上表面上。在一个实施方式中,多个气体管线122可以连接到加热的歧管124。气体可以预先混合或单独供给到室。适当的阀和质量流量控制机构用于在半导体衬底处理期间确保正确的气体被输送通过喷头模块104。在处理期间,背部热传输气体或净化气体被供给到衬底基座模块106上支撑的半导体衬底的下表面下方的区域中。优选地,所述处理是化学气相沉积处理、等离子体增强化学气相沉积处理、原子层沉积处理、等离子体增强原子层沉积处理、脉冲沉积层处理或等离子体增强脉冲沉积层处理中的至少一种。
在某些实施方式中,采用系统控制器126来控制在沉积期间、沉积处理后、和/或其他处理操作期间的工艺条件。控制器126典型地将包括一个或多个存储器设备和一个或多个处理器。所述处理器可以包括CPU或计算机、模拟和/或数字输入/输出连接、步进电机控制器板等。
在某些实施方式中,系统控制器126控制装置的所有活动。系统控制器126执行包括用于控制处理操作的定时、低频RF产生器112和高频RF产生器110的工作频率和功率、前体和惰性气体的流率和温度以及它们的相对的混合、支撑在衬底基座模块106的上表面上的半导体衬底108和喷头组件104的等离子体暴露表面的温度、真空室102的压力、以及特定工艺的其它参数的指令集的系统控制软件。在一些实施方式中可以采用存储在与控制器相关联的存储器设备的其他计算机程序。
本文公开了用于顺序处理各个半导体晶片的陶瓷基座,其中一个或多个金属化陶瓷管路(AlN、Al2O3、Si3N4、ZrO2、SiC或其他陶瓷材料可能是合适的)既充当用于RF或加热器(AC)功率的连接件,又用于将背部气体供应到晶片卡盘腔中。目前的做法是RF功率和加热器(AC)功率连接件是固体金属材料棒,该棒通过管状杆连接到基座,该管状杆机械支撑基座并将电气连接与处理室隔离。参见例如共同转让的美国专利公开No.2016/0340781,No.2016/0336213和No.2016/0333475,其每一个在此通过引用整体并入。目前的技术使用固体镍棒用于RF和加热器(AC)功率,没有背部气体选项,因为轴中的空间限制阻止添加气体管线。也就是说,杆区域中的空间受到限制,使得难以为了新的目的而安装附加特征(棒/管路),新的目的例如将背部气体输送到晶片冷却/边缘清扫气体。因此,为了两个目的而使用一个部件使得能在形状因素的变化最小化的情况下将新功能添加到基座。
根据一个实施方式,金属化陶瓷管路用于(a)RF或AC功率输送和(B)将背部气体带入室这两个双重的目的。该管路的优选实施方式是与基座本身的CTE大致匹配的陶瓷材料,这可以通过以下方式来实现:选择具有相似组成的材料(例如,对于AlN基座,选择AlN管路;对于SiC基座,选择SiC管路),或者使用包括至少一种CTE比基座大的相的材料和至少一种CTE比基座小的的相的材料的相混合物,其比例允许CTE的体积平均值大致匹配基座材料。一个替代实施方式是在基座和管路之间具有应力释放连接件,其能够改变形状以适应CTE失配而不发生破裂或其他退化,例如蠕变温度低于零件的使用温度的金属或合金,或者焊料密封件,其布置成使得表面张力足以保持密封并且防止在操作期间液体的迁移。
在一个优选的实施方式中,金属化是在使用温度下具有低电阻率和低抗氧化性的纯的非磁性金属,例如金。替代实施方式包括其他符合上述标准的铁磁金属,例如镍(Ni);具有低导电性的非金属物质,如石墨(C)或氮化钛(TiN)等;具有导电氧化物的金属,如银(Ag);电阻率低的合金,如铝青铜或弥散强化银;多层结构,其中抗氧化和导电的功能由不同层材料如涂覆TiN的铜实现;或其中通过无氧的气体的流动防止任何金属化的结构,例如在管路的内部部分用Cu金属化并且系统互锁以防止在没有气流的情况下基座加热。
可以通过金属化陶瓷管路孔和金属化顶表面将气体输送到晶片腔的背部,以进行RF或AC功率输送。与固体金属导体相比,该结构还可以减少来自基座的热区的热传导,从而改善晶片的热均匀性,降低邻近基座的部件过热的风险,并降低加热器功耗。
图2示出了衬底支撑模块300,该衬底支撑模块300包括具有导电电极305a,305b(例如导电栅格)和馈送带电极304a的陶瓷台板302和陶瓷支撑杆306,馈送带电极304a与嵌入其中的外部环形电极(未示出)电连接。台板302和杆306优选由诸如氮化铝之类的陶瓷材料制成,并且台板302的底部表面302a例如通过钎焊、摩擦焊接、扩散结合或其他合适的技术连接至杆306的上端306a。位于中心的金属化陶瓷管路308位于杆306内部,管路308的上端308a电连接到嵌入的馈送带电极304a。管路308的出口310与台板302的支撑表面302b中的气体通道312流体连通。金属化陶瓷管路308可以供应经由气体通道312通过出口322传送到位于支撑表面302b上的半导体衬底(未示出)的下侧的惰性气体(诸如氩(Ar)或氮(N2))或传热气体(诸如氦气(He))。管路308的外表面可以通过气密密封件320密封到台板302。杆306的内部还容纳诸如电馈送棒314和附加的金属化陶瓷管路316之类的其他部件,其中电馈送棒314将功率输送到诸如电阻加热器(未示出)的之类其他电极,金属化陶瓷管路316向台板302中的静电夹持电极305a,305b输送功率。金属化陶瓷管路316还可以传送气体通过出口324,326到达支撑在台板302上的晶片的下侧。
在诸如在支撑在衬底支撑模块300上的硅晶片上沉积膜之类的半导体衬底的处理期间,台板302可在从约20℃至500℃和更高的温度范围内循环。为了处理300mm晶片,台板302可具有高达约1英寸的厚度和约15英寸的直径,杆306可具有约3英寸的直径,并且杆306的底部与台板302的上表面之间的距离可以是约5英寸。金属化陶瓷管路可具有约4mm的直径,约7至8英寸的长度,并且金属化涂层可具有约5至50微米,优选约30微米的厚度。杆306的内部容纳部件,诸如电气馈送件,至少一个气体馈送件以及至少一个热电偶。为了容纳这些部件,金属化陶瓷管路308可以将气体输送到支撑表面并向嵌入式电极供应功率,从而不需要单独的气体馈送件。在需要两种或更多种气体馈送件的情况下,可以使用额外的金属化陶瓷管路来供应气体和向嵌入式电极供应功率。此外,通过使用较低热导率的陶瓷管路例如氮化铝管路,而不是高热导率金属棒,例如钯/铑(Pd/Rh)涂覆的不锈钢或镍(Ni)棒,可以减少来自台板302的热量传递。
图3示出了衬底支撑模块300的仰视图,其中可以看到七个电气馈送件。在该实施方式中,中心金属化陶瓷管路308可以向中心电极供电,四个馈送棒314可以为电阻加热器(如内电阻加热器和外电阻加热器(未示出))供电,而两个金属化陶瓷管路316可以向两个电极(例如嵌入台板302中的两个静电吸盘电极(未示出))供电。金属化陶瓷管路316还可以将气体输送到支撑在台板302上的衬底的下侧和/或将RF能量提供给静电夹持电极。
图4是衬底支撑模块300的剖视图,其中单个金属化陶瓷管路308位于台板302的中心,四个固体馈送棒314(例如镍(Ni)棒)在圆周方向上杆306的内表面向内的位置间隔开,并且两个金属化陶瓷管路316电连接到静电夹持电极305a,305b。固体馈送杆314可以向电阻加热器318a,318b(参见图8)供应功率,电阻加热器318a,318b在通过馈送带304a连接到金属化陶瓷管路308的外部环形电极304(参见图9)下方的位置处嵌入台板302中。金属化陶瓷管路308,316与电极304,305a,305b之间以及馈送杆314与加热器318a,318b之间的电连接可以包括如共同转让的美国专利No.9,088,085中所公开的固体端子/螺柱/插座,其公开内容在此通过引用并入。在制造衬底支撑模块300期间,金属化陶瓷管路308,316可以通过合适的烧结和/或钎焊技术结合到台板302和电极304,305a,305b。
图5是台板302在连接杆306之前的顶部透视图。然而,杆306优选在连接馈送棒314和陶瓷管路308/316之前,通过高温焊接或扩散结合而结合到台板302上。如图所示,馈送棒314从台板的下表面延伸并且优选地馈送棒314和金属化陶瓷管路308/316延伸的距离大于杆306的长度。例如,馈送棒314和金属化陶瓷管路308/316可以从台板302的下表面302a延伸至少约7至8英寸的距离,例如约7.25英寸的距离。
图6示出了衬底支撑模块300的底部透视图。如图所示,金属化陶瓷管路308、馈送棒314和金属化陶瓷管路316从杆306的下端向外延伸。
图7是衬底支撑模块300的横截面图。如图所示,在该实施方式中,台板302包括电连接到金属化陶瓷管路308的中央馈送带电极304a,并且不可见到与静电电极305a,305b的连接。
图8是衬底支撑模块300的截面图。如图所示,金属化陶瓷管路308电连接到馈送带电极304a,并且两个馈送棒314电连接到在电极304下方的位置处嵌入台板302中的一个或多个电阻加热器318a,318b。例如,成对的馈送杆314可连接到内部加热器,而另一成对的馈送杆314可连接到外部加热器。如果需要,单个加热器或两个以上加热器可以以任何期望的几何布置嵌入台板302中。
图9是根据一个实施方式的衬底支撑件的俯视图,其中台板302包括三个共面电极和三个向电极供电的金属化陶瓷管路。在该实施方式中,台板302包括第一、第二和第三共面电极304/305a/305b,其中第一电极是外环形电极304,其具有电连接到第一金属化陶瓷管路308的对角延伸的馈送带304a(参见图2),并且第二和第三电极是电连接到第二和第三金属化陶瓷管路316a/316b(见图3)的内部D形电极305a/305b。第一金属化陶瓷管路308与第一气体通道312流体连通,第一气体通道312延伸穿过对角延伸的馈送带304a和在台板302的上表面302b的中心处的第一出口322。第二金属化陶瓷管路316a与第二气体通道流体连通,第二气体通道延伸穿过第二电极305a和在台板302的上表面中与第一出口322间隔第一距离的第二出口324。第三金属化陶瓷管路316b与第三气体通道流体连通,第三气体通道延伸穿过第三电极305b和在台板302的上表面中与第一出口322间隔第二距离的第三出口326。第一和第二距离优选不大于约1英寸,更优选第二和第三出口324/326与第一出口322间隔约0.75英寸。台板302还包括三个升降销孔328,升降销可以通过该升起销孔328升高晶片以及将晶片降低到台板302上。
金属化陶瓷管路308/316和馈送棒314可用于向嵌入台板302中的电极供应射频(RF)、直流电(DC)和/或交流电(AC)。另外,热电偶或其他传感器可以容纳在金属化陶瓷管路中,该金属化陶瓷管路也向嵌入台板302中的电极供应功率。台板302优选为烧结陶瓷材料的一体化主体,烧结陶瓷材料例如铝氧化物(氧化铝)、氧化钇、氮化铝、氮化硼、氧化硅、碳化硅、氮化硅、氧化钛、氧化锆或其它合适的材料或者材料的组合。每个电极可以具有平面结构或非平面结构并且优选由导电金属材料(例如,钨、钼、钽、铌、钴)或导电非金属材料(例如氧化铝-碳化钽、氧化铝-碳化硅、氮化铝-钨、氮化铝-钽、氧化钇-钼)。电极可以由与基座的陶瓷材料共烧的粉末材料形成。例如,电极可以由与形成基座主体的陶瓷材料层共烧的导电糊剂形成。例如,糊剂可以包括镍(Ni)、钨(W)、钼(Mo)、钛(Ti)、锰(Mn)、铜(Cu)、银(Ag)、钯(Pd)、铂(Pt)、铑(Rh)的导电金属粉末。或者,电极可以由具有所需电极图案的沉积材料或被蚀刻以形成所需电极图案的沉积膜形成。此外,电极可以包括预成形的栅格、板、丝网或其他合适的电极材料和/或配置。在一实施方式中,电极包括由DC电源供电以提供DC夹持电压(例如,约200至约2000伏)的至少一个静电夹持电极,由RF电源供电极以提供RF偏置电压(例如,在大约50至大约3000瓦的功率水平下,约400KHz至约60MHz的一个或多个频率)的至少一个RF电极和/或通过适当的电路由DC和RF电源供电的至少一个电极。金属化陶瓷管可以在其内表面、外表面或内表面和外表面上具有导电金属化涂层。为了将导电金属化涂层连接到嵌入电极,导电金属化涂层可以以机械方式或冶金方式直接连接到位于基座下侧的电极或其端子。例如,导电金属化涂层可以钎焊到附接到嵌入电极的端子。
虽然半导体衬底处理装置的衬底基座模块参照其具体实施方式进行了详细描述,但对那些本领域技术人员而言,显而易见,在不脱离所附权利要求的范围的情况下可以做出各种变化和修改,并可以采用等同方案。

Claims (10)

1.一种用于处理半导体衬底的半导体衬底处理装置,其包括:
包括处理区域的真空室,在所述处理区域中能处理半导体衬底;
工艺气体源,其与所述真空室流体连通,以供给工艺气体到所述真空室中;
喷头模块,来自所述工艺气体源的工艺气体通过该喷头模块供给到所述真空室的所述处理区域;以及
衬底基座模块,其包括:由陶瓷材料制成的台板,所述台板具有上表面,所述上表面被配置成在处理期间支撑在其上的半导体衬底;杆,其由陶瓷材料制成,所述杆具有支撑所述台板的上部杆凸缘;和位于所述杆的内部的由金属化陶瓷材料制成的背部气体管路,所述背部气体管路被配置成向所述台板的所述上表面供应背部气体并向嵌入所述台板中的电极供应功率。
2.根据权利要求1所述的半导体衬底处理装置,其中,所述电极是静电夹持电极。
3.根据权利要求1所述的半导体衬底处理装置,其中,所述电极是RF电极。
4.根据权利要求1所述的半导体衬底处理装置,其中所述台板包括嵌入其中的一个或多个电阻加热器,并且所述加热器电连接到位于所述杆内的金属化陶瓷馈送棒或金属馈送棒。
5.根据权利要求1所述的半导体衬底处理装置,其中,所述衬底基座模块还包括热电偶,所述热电偶被配置为测量所述台板的温度,所述热电偶位于陶瓷管路内部,所述陶瓷管路在所述杆内的位置连接到所述台板的下侧。
6.根据权利要求1所述的半导体衬底处理装置,其中所述背部气体管路、所述台板和所述杆由氮化铝形成。
7.根据权利要求1所述的半导体衬底处理装置,其中,所述背部气体管路居中定位于所述杆的内部。
8.根据权利要求1所述的半导体衬底处理装置,其中,所述台板包括嵌入其中的外部RF电极以及嵌入其中的内部静电夹持(ESC)电极,所述内部ESC电极与所述外部RF电极共面,所述外部RF电极电连接到所述杆内的金属化陶瓷功率馈送棒,并且所述内部ESC电极中的每一个电连接到所述杆内的成对的金属化陶瓷馈送棒。
9.根据权利要求1所述的半导体衬底处理装置,其中所述金属化陶瓷管路通过应力释放连接件而连接到所述电极,所述应力释放连接件被配置成改变形状以适应所述金属化陶瓷管路与所述电极之间的不同热膨胀。
10.一种用于在真空室中处理半导体衬底的半导体衬底支撑模块,所述真空室包括处理区域,在所述处理区域中能处理半导体衬底,所述衬底支撑模块包括:
由陶瓷材料制成的台板,所述台板具有上表面,所述上表面被配置成在处理期间支撑在其上的半导体衬底;
杆,其由陶瓷材料制成,所述杆具有支撑所述台板的上部杆凸缘;和
位于所述杆的内部的由金属化陶瓷材料制成的至少一个背部气体管路,所述背部气体管路被配置成向所述台板的所述上表面供应背部气体并向嵌入所述台板中的电极供应功率。
CN201810537225.9A 2017-05-30 2018-05-30 高温衬底基座模块及其组件 Active CN108987229B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310024339.4A CN116153754A (zh) 2017-05-30 2018-05-30 一种用于真空室中的衬底的模块和一种衬底基座

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/608,135 US10147610B1 (en) 2017-05-30 2017-05-30 Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
US15/608,135 2017-05-30

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202310024339.4A Division CN116153754A (zh) 2017-05-30 2018-05-30 一种用于真空室中的衬底的模块和一种衬底基座

Publications (2)

Publication Number Publication Date
CN108987229A true CN108987229A (zh) 2018-12-11
CN108987229B CN108987229B (zh) 2023-02-03

Family

ID=64452019

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201810537225.9A Active CN108987229B (zh) 2017-05-30 2018-05-30 高温衬底基座模块及其组件
CN202310024339.4A Pending CN116153754A (zh) 2017-05-30 2018-05-30 一种用于真空室中的衬底的模块和一种衬底基座

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202310024339.4A Pending CN116153754A (zh) 2017-05-30 2018-05-30 一种用于真空室中的衬底的模块和一种衬底基座

Country Status (4)

Country Link
US (3) US10147610B1 (zh)
JP (2) JP7335060B2 (zh)
KR (2) KR102620122B1 (zh)
CN (2) CN108987229B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111607785A (zh) * 2020-05-26 2020-09-01 北京北方华创微电子装备有限公司 一种加热装置及半导体加工设备
CN113795610A (zh) * 2019-04-26 2021-12-14 朗姆研究公司 在处理室中衬底的高温加热
CN115004353A (zh) * 2020-01-31 2022-09-02 京瓷株式会社 陶瓷构造体的制造方法
US11955320B2 (en) 2020-12-31 2024-04-09 Mico Ceramics Ltd. Ceramic susceptor
TWI843031B (zh) * 2020-12-31 2024-05-21 南韓商美科陶瓷科技有限公司 陶瓷基座

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9738975B2 (en) 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10147610B1 (en) * 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
US11289355B2 (en) * 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
KR102300756B1 (ko) * 2017-11-21 2021-09-10 와틀로 일렉트릭 매뉴팩츄어링 컴파니 원자 보호층을 갖는 세라믹 받침대
CN111670491A (zh) 2018-01-31 2020-09-15 朗姆研究公司 静电卡盘(esc)基座电压隔离
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR20220019030A (ko) * 2019-08-08 2022-02-15 엔지케이 인슐레이터 엘티디 반도체 제조 장치용 부재
US20210066039A1 (en) * 2019-08-26 2021-03-04 Applied Materials, Inc. Semiconductor processing apparatus with improved uniformity
JP7237776B2 (ja) * 2019-08-27 2023-03-13 京セラ株式会社 ウェハ用部材及びウェハ用装置
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
JP2023518718A (ja) * 2020-03-19 2023-05-08 ラム リサーチ コーポレーション シャワーヘッドパージカラー
US20220127723A1 (en) * 2020-10-23 2022-04-28 Applied Materials, Inc. High heat loss heater and electrostatic chuck for semiconductor processing
WO2024072701A1 (en) * 2022-09-30 2024-04-04 Applied Materials, Inc. Fluid conduit and flange for high bias applications

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010019472A1 (en) * 1996-09-19 2001-09-06 Seiichiro Kanno Electrostatic chuck, and method of and apparatus for processing sample using the chuck
JP2005018992A (ja) * 2003-06-23 2005-01-20 Ibiden Co Ltd プラズマ発生装置用電極埋設部材
CN103069550A (zh) * 2010-12-22 2013-04-24 诺发系统公司 半导体衬底的密度可变等离子体处理
CN106148915A (zh) * 2015-05-12 2016-11-23 朗姆研究公司 包含背部气体输送管路的衬底基座模块及其制造方法
US20160340781A1 (en) * 2015-05-22 2016-11-24 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly

Family Cites Families (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69432383D1 (de) 1993-05-27 2003-05-08 Applied Materials Inc Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
US6544379B2 (en) 1993-09-16 2003-04-08 Hitachi, Ltd. Method of holding substrate and substrate holding system
US5476548A (en) 1994-06-20 1995-12-19 Applied Materials, Inc. Reducing backside deposition in a substrate processing apparatus through the use of a shadow ring
US5671116A (en) 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
US5968379A (en) * 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US5810933A (en) 1996-02-16 1998-09-22 Novellus Systems, Inc. Wafer cooling device
US6082297A (en) 1997-09-12 2000-07-04 Novellus Sytems, Inc. Encapsulated thermofoil heater apparatus and associated methods
US6063202A (en) 1997-09-26 2000-05-16 Novellus Systems, Inc. Apparatus for backside and edge exclusion of polymer film during chemical vapor deposition
US6126382A (en) 1997-11-26 2000-10-03 Novellus Systems, Inc. Apparatus for aligning substrate to chuck in processing chamber
US6103074A (en) * 1998-02-14 2000-08-15 Phygen, Inc. Cathode arc vapor deposition method and apparatus
US6086246A (en) 1998-05-26 2000-07-11 Novellus Systems, Inc. Two-element plasma resistant lightpipe assembly
US6423949B1 (en) 1999-05-19 2002-07-23 Applied Materials, Inc. Multi-zone resistive heater
US6500299B1 (en) * 1999-07-22 2002-12-31 Applied Materials Inc. Chamber having improved gas feed-through and method
US6561796B1 (en) 1999-09-07 2003-05-13 Novellus Systems, Inc. Method of semiconductor wafer heating to prevent bowing
US6494955B1 (en) * 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
JP4398064B2 (ja) 2000-05-12 2010-01-13 日本発條株式会社 加熱装置
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
US6468384B1 (en) 2000-11-09 2002-10-22 Novellus Systems, Inc. Predictive wafer temperature control system and method
US6730175B2 (en) * 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US6646233B2 (en) 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
JP4060684B2 (ja) * 2002-10-29 2008-03-12 日本発条株式会社 ステージ
US7154731B1 (en) 2003-07-18 2006-12-26 Novellus Systems, Inc. Reflective coating for electrostatic chucks
JP4180637B2 (ja) 2004-03-26 2008-11-12 株式会社日立国際電気 半導体製造装置および半導体装置の製造方法
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
JP4365766B2 (ja) 2004-10-26 2009-11-18 京セラ株式会社 ウェハ支持部材とそれを用いた半導体製造装置
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US20060281310A1 (en) 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
JP2007051317A (ja) 2005-08-16 2007-03-01 Ngk Insulators Ltd 加熱装置
JP2007258585A (ja) 2006-03-24 2007-10-04 Tokyo Electron Ltd 基板載置機構および基板処理装置
KR20080091072A (ko) * 2006-05-24 2008-10-09 에스이아이 하이브리드 가부시키가이샤 웨이퍼 유지체와 그 제조 방법 및 반도체 제조 장치
US7848075B2 (en) 2006-07-19 2010-12-07 Ngk Insulators, Ltd. Electrostatic chuck with heater
JP5183058B2 (ja) * 2006-07-20 2013-04-17 アプライド マテリアルズ インコーポレイテッド 急速温度勾配コントロールによる基板処理
US20080062609A1 (en) 2006-08-10 2008-03-13 Shinji Himori Electrostatic chuck device
US7667944B2 (en) 2007-06-29 2010-02-23 Praxair Technology, Inc. Polyceramic e-chuck
JP5660753B2 (ja) * 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
JP2009054871A (ja) * 2007-08-28 2009-03-12 Tokyo Electron Ltd 載置台構造及び処理装置
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
JP5014080B2 (ja) 2007-11-19 2012-08-29 コバレントマテリアル株式会社 面状ヒータ
KR101404010B1 (ko) * 2008-03-06 2014-06-12 주성엔지니어링(주) 기판 가장자리 식각장치 및 이를 이용한 기판 가장자리식각방법
US7558045B1 (en) 2008-03-20 2009-07-07 Novellus Systems, Inc. Electrostatic chuck assembly with capacitive sense feature, and related operating method
US8206552B2 (en) 2008-06-25 2012-06-26 Applied Materials, Inc. RF power delivery system in a semiconductor apparatus
US9064911B2 (en) 2008-10-24 2015-06-23 Applied Materials, Inc. Heated cooling plate for E-chucks and pedestals
US20100243609A1 (en) 2009-03-30 2010-09-30 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
FR2945876B1 (fr) 2009-05-19 2012-03-23 St Microelectronics Sa Dispositif de regulation d'un generateur a pompe de charges et procede de regulation correspondant
US8753447B2 (en) 2009-06-10 2014-06-17 Novellus Systems, Inc. Heat shield for heater in semiconductor processing apparatus
JP5349232B2 (ja) * 2009-09-17 2013-11-20 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
JP5513104B2 (ja) * 2009-12-28 2014-06-04 東京エレクトロン株式会社 プラズマ処理装置
US8597448B2 (en) 2009-12-29 2013-12-03 Novellus Systems, Inc. Electrostatic chucks and methods for refurbishing same
JP5218865B2 (ja) 2010-03-26 2013-06-26 Toto株式会社 静電チャック
US20120103970A1 (en) 2010-05-13 2012-05-03 Applied Materials, Inc. Heater with independent center zone control
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
JP5896595B2 (ja) 2010-10-20 2016-03-30 ラム リサーチ コーポレーションLam Research Corporation 2層rf構造のウエハ保持体
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9337067B2 (en) 2011-05-13 2016-05-10 Novellus Systems, Inc. High temperature electrostatic chuck with radial thermal chokes
US8618446B2 (en) 2011-06-30 2013-12-31 Applied Materials, Inc. Substrate support with substrate heater and symmetric RF return
US9117867B2 (en) 2011-07-01 2015-08-25 Applied Materials, Inc. Electrostatic chuck assembly
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9984866B2 (en) 2012-06-12 2018-05-29 Component Re-Engineering Company, Inc. Multiple zone heater
US9490150B2 (en) * 2012-07-03 2016-11-08 Applied Materials, Inc. Substrate support for substrate backside contamination control
US9088085B2 (en) 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
CN104813460A (zh) * 2012-11-27 2015-07-29 盛美半导体设备(上海)有限公司 基板支撑装置
WO2014098224A1 (ja) * 2012-12-21 2014-06-26 京セラ株式会社 試料保持具
KR102042083B1 (ko) 2013-03-15 2019-11-27 어플라이드 머티어리얼스, 인코포레이티드 정전 척의 수리 및 복원을 위한 방법 및 장치
US8841574B1 (en) * 2013-11-18 2014-09-23 Georges J. Gorin Plasma extension and concentration apparatus and method
KR102376429B1 (ko) * 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
US20150194326A1 (en) * 2014-01-07 2015-07-09 Applied Materials, Inc. Pecvd ceramic heater with wide range of operating temperatures
WO2015146563A1 (ja) 2014-03-27 2015-10-01 日本碍子株式会社 セラミックスプレートと金属製の円筒部材との接合構造
US9428836B2 (en) 2014-04-29 2016-08-30 Lam Research Corporation Electroless deposition of continuous cobalt layer using complexed Ti3+ metal ions as reducing agents
KR20190010748A (ko) 2014-06-23 2019-01-30 니혼도꾸슈도교 가부시키가이샤 정전 척
TWI654332B (zh) 2014-07-02 2019-03-21 美商應用材料股份有限公司 用於電漿處理的多區域基座
US9984911B2 (en) 2014-12-11 2018-05-29 Applied Materials, Inc. Electrostatic chuck design for high temperature RF applications
US20160225652A1 (en) * 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9859088B2 (en) 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
US10177024B2 (en) * 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US9428833B1 (en) 2015-05-29 2016-08-30 Lam Research Corporation Method and apparatus for backside deposition reduction by control of wafer support to achieve edge seal
US10950477B2 (en) 2015-08-07 2021-03-16 Applied Materials, Inc. Ceramic heater and esc with enhanced wafer edge performance
US10541117B2 (en) 2015-10-29 2020-01-21 Lam Research Corporation Systems and methods for tilting a wafer for achieving deposition uniformity
JP6614933B2 (ja) 2015-11-11 2019-12-04 東京エレクトロン株式会社 基板載置機構および基板処理装置
US10510625B2 (en) 2015-11-17 2019-12-17 Lam Research Corporation Systems and methods for controlling plasma instability in semiconductor fabrication
US10147610B1 (en) 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010019472A1 (en) * 1996-09-19 2001-09-06 Seiichiro Kanno Electrostatic chuck, and method of and apparatus for processing sample using the chuck
JP2005018992A (ja) * 2003-06-23 2005-01-20 Ibiden Co Ltd プラズマ発生装置用電極埋設部材
CN103069550A (zh) * 2010-12-22 2013-04-24 诺发系统公司 半导体衬底的密度可变等离子体处理
CN106148915A (zh) * 2015-05-12 2016-11-23 朗姆研究公司 包含背部气体输送管路的衬底基座模块及其制造方法
US20160340781A1 (en) * 2015-05-22 2016-11-24 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113795610A (zh) * 2019-04-26 2021-12-14 朗姆研究公司 在处理室中衬底的高温加热
CN115004353A (zh) * 2020-01-31 2022-09-02 京瓷株式会社 陶瓷构造体的制造方法
CN111607785A (zh) * 2020-05-26 2020-09-01 北京北方华创微电子装备有限公司 一种加热装置及半导体加工设备
US11955320B2 (en) 2020-12-31 2024-04-09 Mico Ceramics Ltd. Ceramic susceptor
TWI843031B (zh) * 2020-12-31 2024-05-21 南韓商美科陶瓷科技有限公司 陶瓷基座

Also Published As

Publication number Publication date
CN116153754A (zh) 2023-05-23
KR20240006480A (ko) 2024-01-15
US20190109008A1 (en) 2019-04-11
US20200051822A1 (en) 2020-02-13
KR20180131423A (ko) 2018-12-10
CN108987229B (zh) 2023-02-03
US20180350610A1 (en) 2018-12-06
JP2023154070A (ja) 2023-10-18
JP7335060B2 (ja) 2023-08-29
JP2018204104A (ja) 2018-12-27
KR102620122B1 (ko) 2023-12-29
US10431467B2 (en) 2019-10-01
US10964545B2 (en) 2021-03-30
US10147610B1 (en) 2018-12-04

Similar Documents

Publication Publication Date Title
CN108987229A (zh) 高温衬底基座模块及其组件
TWI826073B (zh) 使用於半導體處理中之靜電夾頭
JP4209057B2 (ja) セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法
TW200536425A (en) Substrate heating device
TWI480972B (zh) A wafer holding body for improving the connection method of the high-frequency electrode, and a semiconductor manufacturing apparatus comprising the same
TW201016078A (en) Cathode with inner and outer electrodes at different heights
JP2006127900A (ja) 環状ヒータ
JP2000183145A (ja) ウエハステージ及び真空熱処理装置
JPH08330402A (ja) 半導体ウエハー保持装置
JP2002016005A (ja) 半導体製造装置用電極端子接合セラミックス部材及びその製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant