JP2018204104A - Rfおよびガス供給のための金属化セラミック管を備える基板台座モジュール - Google Patents

Rfおよびガス供給のための金属化セラミック管を備える基板台座モジュール Download PDF

Info

Publication number
JP2018204104A
JP2018204104A JP2018101957A JP2018101957A JP2018204104A JP 2018204104 A JP2018204104 A JP 2018204104A JP 2018101957 A JP2018101957 A JP 2018101957A JP 2018101957 A JP2018101957 A JP 2018101957A JP 2018204104 A JP2018204104 A JP 2018204104A
Authority
JP
Japan
Prior art keywords
semiconductor substrate
electrode
platen
stem
metallized ceramic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018101957A
Other languages
English (en)
Other versions
JP7335060B2 (ja
Inventor
ラムキシャン・ラオ・リンガンパリ
Rao Lingampalli Ramkishan
ジョエル・ホリングスワース
Hollingsworth Joel
ブラッドレー・ベーカー
Baker Bradley
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2018204104A publication Critical patent/JP2018204104A/ja
Priority to JP2023132747A priority Critical patent/JP2023154070A/ja
Application granted granted Critical
Publication of JP7335060B2 publication Critical patent/JP7335060B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】化学気相堆積装置またはプラズマ強化化学気相堆積装置などの半導体基板処理装置において台座の加熱領域から熱伝導性を除去し、ウエハの熱均一性を向上させ、台座に隣接する過熱部品のリスクを低減し、ヒータ消費電力を低減することもできる半導体基板を処理するための装置の提供。
【解決手段】処理時に半導体基板を支持するよう構成された上面を有するセラミック材料で作られたプラテン302を備える基板台座モジュールであって、プラテン302を支持する上部ステムフランジを有するセラミック材料で作られたステム306と、ステム306の内部に位置する金属化セラミック材料で作られた少なくとも1つの裏面ガス管であって、裏面ガスをプラテンの上面に供給し、電力をプラテンに埋設された電極305a、305bに供給するよう構成された裏面ガス管と、を備える基板台座モジュール300と、を備える半導体基板処理装置。
【選択図】図2

Description

本発明は、半導体基板を処理するための半導体基板処理装置に関し、薄膜を堆積させるように動作可能なプラズマ強化化学気相堆積処理装置において特に使用されうる。
半導体基板処理装置は、エッチング、物理気相堆積(PVD)、化学気相堆積(CVD)、プラズマ強化化学気相堆積(PECVD)、原子層堆積(ALD)、プラズマ強化原子層堆積(PEALD)、パルス堆積層(PDL)、プラズマ強化パルス堆積層(PEPDL)、および、レジスト除去を含む技術によって、半導体基板を処理するのに用いられる。半導体基板処理装置の一種には、上部電極および下部電極を含む反応チャンバを備えるプラズマ処理装置があり、そこでは反応チャンバ内で半導体基板を処理するために、無線周波数(RF)電力が電極間に印加されてプロセスガスがプラズマに励起される。
本明細書に開示されるのは、半導体基板を処理するための半導体基板処理装置であって、半導体基板が処理されうる処理領域を含む真空チャンバと、プロセスガスを真空チャンバに供給するために真空チャンバと流体連通するプロセスガス源と、プロセスガス源からのプロセスガスが真空チャンバの処理領域に供給されるシャワーヘッドモジュールと、処理時に半導体基板を支持するよう構成された上面を有するセラミック材料で作られたプラテンを備える基板台座モジュールであって、プラテンを支持する上部ステムフランジを有するセラミック材料で作られたステムと、ステムの内部に位置する金属化セラミック材料で作られた少なくとも1つの裏面ガス管であって、裏面ガスをプラテンの上面に供給し、電力をプラテンに埋設された電極に供給するよう構成された裏面ガス管と、を備える基板台座モジュールと、を備える。
一実施形態によると、電極は、静電クランプ電極、RF電極、または、それらの組み合わせである。プラテンは、埋設された1つ以上の抵抗発熱体を備えてよく、発熱体は、ステム内に位置する金属供給ロッドまたは金属化セラミック供給ロッドに電気的に接続される。基板台座モジュールは、さらに、プラテンの温度を測定するよう構成された熱電対を備えてよく、熱電対は、ステム内の位置でプラテンの裏面に取り付けられたセラミック管の内部に位置する。裏面ガス管、プラテン、およびステムは、窒化アルミニウムなどの同じセラミック材料で形成されること、ならびに/または、裏面ガス管は、ステム内部の中央に位置することが好ましい。例示的な実施形態では、プラテンは、埋設された外部RF電極、および、埋設された内部静電クランプ(ESC)電極を備え、内部ESC電極は、外部RF電極と同一平面上にあり、外部RF電極は、ステム内部の金属化セラミック管に電気的に接続された半径方向に延びる供給ストリップを備え、内部ESC電極は、一対の金属化セラミック供給ロッド、または、ステム内部の金属化セラミック供給管に電気的に接続される。別の実施形態では、金属化セラミック管は、応力緩和接続部によって電極に接続され、応力緩和接続部は、金属化セラミック管と電極との間の熱膨張差を吸収するように形状を変化させるよう構成される。金属化セラミック管は、ステムの長さより大きい長さを有しうる、および/または、その外面上に導電性被膜を含みうる。
例示的な実施形態では、少なくとも1つの裏面ガス管は、第1、第2、および第3の金属化セラミック管を備え、プラテンは、第1、第2、および第3の同一平面上の電極を備え、第1の電極は、第1の金属化セラミック管に電気的に接続された斜めに延びる供給ストリップを有する外部リング状電極であり、第2および第3の電極は、第2および第3の金属化セラミック管に電気的に接続された内部D字形電極である。第1の金属化セラミック管は、斜めに延びる供給ストリップ、および、プラテンの上面中央の第1の排出口を通って延びる第1のガス経路と流体連通しうる。第2の金属化セラミック管は、第1の排出口から第1の距離で、第2の電極およびプラテンの上面の第2の排出口を通って延びる第2のガス経路と流体連通しうる。第3の金属化セラミック管は、第1の排出口から第2の距離で、第3の電極およびプラテンの上面の第3の排出口を通って延びる第3のガス経路と流体連通しうる。第1の距離および第2の距離は、約1インチ(2.54センチ)以下である。
本明細書には、半導体基板が処理されうる処理領域を含む真空チャンバにおいて半導体基板を処理するのに有効な半導体基板支持モジュールも開示される。基板支持モジュールは、処理時に半導体基板を上に支持するよう構成された上面を有するセラミック材料で作られたプラテンと、プラテンを支持する上部ステムフランジを有するセラミック材料で作られたステムと、ステムの内部に位置する金属化セラミック材料で作られた裏面ガス管であって、裏面ガスをプラテンの上面に供給し、電力をプラテンに埋設された電極に供給するよう構成される裏面ガス管と、を備える。
本明細書に開示の実施形態による化学堆積装置の概要を示す概略図。
本明細書に開示の実施形態による基板台座モジュールの断面図。
図2に示す基板台座モジュールの下面図。
図2に示す基板支持モジュールの断面斜視図。
図2に示す基板支持モジュールの上面斜視図。
図2に示す基板支持モジュールの下面斜視図。
ステム内部に1つの金属化セラミック管を有する基板支持モジュールの断面図。
埋設されたRF電極に接続された金属化セラミック管、および、RF電極の下方の位置で台座に埋設された2つの抵抗発熱体に接続された2つの供給ロッドを有する、基板支持モジュールの断面図。
外部リング状電極が、供給ストリップ内の経路を通じて不活性ガスを供給する中央金属化セラミック管によって電力が供給される斜めの供給ストリップを備える実施形態による、基板支持体の上面図。
以下の詳細な説明では、本明細書に開示の装置および方法の十分な理解を提供するために、多数の特定の実施形態が説明される。しかし、当業者には明らかなように、本実施形態は、これらの特定の詳細なしに、または、代替の要素もしくはプロセスを用いて実施されてよい。他の例では、周知のプロセス、手順、および/または、構成部品は、本明細書に記載の実施形態の態様を不必要に曖昧にしないように詳細には述べられていない。本明細書で用いられる「約」との単語は、±10%を意味する。
示されるように、本実施形態は、化学気相堆積装置またはプラズマ強化化学気相堆積装置などの半導体基板処理装置において半導体基板を処理するための装置および関連方法を提供する。この装置および方法は、高温堆積プロセスなどの半導体基板の高温処理と併せての使用に特に適しており、そこで処理される半導体基板は、約550℃から約650℃以上など、約550℃より高い温度に加熱される。
本明細書に記載の実施形態は、プラズマ強化化学堆積装置(すなわち、PECVD装置、PEALD装置、またはPEPDL装置)で実施されることが好ましいが、それに限定されない。
図1は、本明細書に記載の実施形態を実施するために配置された様々な半導体基板プラズマ処理装置構成部品を表す簡略ブロック図を示す。図のように、半導体基板プラズマ処理装置100は、処理領域にプラズマを含むように機能する真空チャンバ102を備える。プラズマは、下部RF電極(図示せず)を有する基板台座モジュール106と協働する上部RF電極(図示せず)を有するシャワーヘッドモジュール104を備えるキャパシタ型システムによって生成される。少なくとも1つのRF発生器は、プラズマ堆積プロセスが真空チャンバ102で実施されうるように、真空チャンバ102内の半導体基板108の上面上方の処理領域にRFエネルギを供給して、真空チャンバ102の処理領域に供給されたプロセスガスをプラズマに励起するよう動作可能である。例えば、高周波RF発生器110および低周波RF発生器112は、それぞれ、RFエネルギが真空チャンバ102内の半導体基板108の上方の処理領域に供給されうるように、シャワーヘッドモジュール104の上部RF電極に接続される整合ネットワーク114に接続されてよい。
整合ネットワーク114によって真空チャンバ102の内部に供給されたRFエネルギの電力および周波数は、プロセスガスからプラズマを生成するのに十分である。一実施形態では、高周波RF生成器110および低周波RF生成器112の両方が用いられ、別の実施形態では、高周波RF生成器110のみが用いられる。プロセスでは、高周波RF生成器110は、約2〜100MHzの周波数で、好ましい実施形態では、13.56MHzまたは27MHzで操作されてよい。低周波RF生成器112は、約50kHzから2MHzで、好ましい実施形態では、約350kHzから600kHzで操作されてよい。プロセスパラメータは、チャンバ圧、基板サイズ、および、他の要素に基づいて調整されてよい。同様に、プロセスガスの流量は、真空チャンバまたは処理領域の自由体積に依存してよい。
基板台座モジュール106の上面は、処理時に、真空チャンバ102内で半導体基板108を支持する。基板台座モジュール106は、半導体基板、ならびに/または、堆積および/もしくはプラズマ処理プロセスの前、最中、および/もしくは後に半導体基板を昇降させるリフトピンを保持するチャックを備えうる。別の実施形態では、基板台座モジュール106は、堆積および/もしくはプラズマ処理プロセスの前、最中、ならびに/または後に半導体基板を昇降させるキャリアリングを備えうる。チャックは、静電チャック、機械式チャック、または、工業界および/もしくは研究で利用可能な様々な他の種類のチャックであってよい。静電チャックを備える基板台座モジュール用のリフトピンアセンブリの詳細は、その全てが参照として本明細書に援用される、本発明の譲受人に譲渡された米国特許第8,840,754号に記載されている。基板台座モジュール用のキャリアリングの詳細は、その全てが参照として本明細書に援用される、本発明の譲受人に譲渡された米国特許第6,860,965号に記載されている。裏面ガス供給部116は、処理時に、伝熱ガスまたはパージガスを、基板台座モジュール106を通じて半導体基板の下面の下方の領域に供給するよう動作可能である。基板台座モジュール106は、下部RF電極を備えており、下部RF電極は、処理時には接地されることが好ましいが、別の実施形態では、下部RF電極は、処理時にRFエネルギが供給されてよい。
半導体基板プラズマ処理装置100の真空チャンバ102で半導体基板を処理するために、プロセスガスは、プロセスガス源118から注入口120およびシャワーヘッドモジュール104を通って真空チャンバ102に導入される。そこでプロセスガスは、半導体基板の上面上に膜が堆積されうるように、RFエネルギを用いてプラズマ化される。一実施形態では、複数源のガスライン122が加熱マニホルド124に接続されてよい。ガスは、予混合されてよい、または、チャンバに別々に供給されてよい。半導体基板処理時に正確なガスがシャワーヘッドモジュール104を通って供給されることが確実になるように、適切な弁操作および質量流量制御の機構が用いられる。処理時に、裏面伝熱ガスまたはパージガスは、基板台座モジュール102に支持された半導体基板の下面の下方の領域に供給される。この処理は、化学気相堆積処理、プラズマ強化化学気相堆積処理、原子層堆積処理、プラズマ強化原子層堆積処理、パルス堆積層処理、および、プラズマ強化パルス堆積層処理のうちの少なくとも1つであることが好ましい。
特定の実施形態では、堆積時、堆積後の処理時、および/または、他のプロセス動作時のプロセス条件を制御するのにシステムコントローラ126が用いられる。コントローラ126は、通常、1つ以上のメモリ装置、および、1つ以上のプロセッサを備えるだろう。プロセッサは、CPUまたはコンピュータ、アナログおよび/またはデジタルの入出力接続部、ステッパモータコントローラ基板などを備えてよい。
特定の実施形態では、コントローラ126は、装置の全ての動作を制御する。システムコントローラ126は、処理動作のタイミング、低周波RF発生器112および高周波RF発生器110の動作の周波数および電力、前駆体および不活性ガスおよびその相対混合物の流量および温度、基板台座モジュール106の上面に支持された半導体基板108およびシャワーヘッドモジュール104のプラズマ露出面の温度、真空チャンバ102の圧力、ならびに、特定のプロセスの他のパラメータを制御するための命令セットを含むシステム制御ソフトウェアを実行する。いくつかの実施形態では、コントローラに関連付けられたメモリ装置に格納された他のコンピュータプログラムが用いられてよい。
本明細書では、個別の半導体ウエハの逐次処理のためのセラミック台座が開示され、1つ以上の金属化セラミック管(AlN、Al23、Si34、ZrO2、SiC、または他のセラミック材料が適しうる)が、RFまたはヒータ(AC)電力のための電気接続部として機能し、裏面ガスをウエハチャックの空洞に供給するよう機能する。現在の慣例では、RF電力接続部およびヒータ(AC)電力接続部は、固体金属材料であって、台座を機械的に支持して電気接続部をプロセスチャンバから隔離する管状ステムを介して台座に接続されたロッドである。例えば、それぞれその全てが参照として本明細書に援用される、本発明の譲受人に譲渡された米国特許公開公報第2016/0340781号、第2016/0336213号、および第2016/0333475号を参照されたい。現在の技術では、シャフト内の空間制約でガスラインの追加が妨げられるため、裏面ガスの選択なしでRFおよびヒータ(AC)電力用に固体のNiロッドが用いられる。つまり、ステム領域内の空間は制約されているため、ウエハ冷却ガス/エッジパージガスへの裏面ガスの供給などの新しい目的のために追加のフィーチャ(ロッド/管)を取り付けることが難しい。そのため、2つの目的のために1つの部品を用いることで、要素を形成するのに最小限の変更で新しい機能を台座に追加できる。
一実施形態により、金属化セラミック管は、(a)RFまたはAC電力の供給、および、(B)チャンバへの裏面ガスの導入、の2つの目的を果たす。管の好ましい実施形態は、台座自体の熱膨張率にほぼ一致するセラミック材料であり、類似の組成を有する材料を選択(例えば、AlN台座にはAlN管を選択し、SiC台座にはSiC管を選択)することによって、または、熱膨張率の体積平均が台座材料とほぼ一致するようになるにつれて、台座よりも大きな熱膨張率を有する少なくとも1つの位相、および、台座よりも小さい熱膨張率を有する少なくとも1つの位相を含む異相混合材料を用いることによって達成されうる。別の実施形態は、クリープ温度が部品の使用温度より低い金属もしくは合金、または、表面張力が密閉を維持して動作時に液体の移動を防ぐのに十分なように配置されたソルダーシールなどの、台座と管との間の応力緩和接続部であって、破損または他の劣化なしに熱膨張率の不一致を調整するよう形状が変化されうる応力緩和接続部を有する。
好ましい実施形態では、メタライゼーションは、使用温度において低電気抵抗率および低耐酸化性を有する非磁性純金属(例えば、金)による。別の実施形態には、上記の基準を満たす強磁性金属(ニッケル(Ni)など)、低導電性の非金属的物質(グラファイト(C)または窒化チタン(TiN)など)、導電性酸化物を有する金属(銀(Ag)など)、低電気抵抗率の合金(アルミニウム青銅または分散強化銀など)、異なる材料層によって耐酸化性および電気導電性の機能が達成される多層構造体(スズめっき銅など)、または、あらゆるメタライゼーションが無酸素ガス流によって酸化から保護される構造体(例えば、管の内部がCuによって金属化されると、システムは、ガス流なしに台座の加熱を防ぐよう連動する)が含まれる。
ガスは、金属化セラミック管状穴および金属化上面を介してウエハキャビティの裏に移送されて、RF電力またはAC電力の供給が行われうる。この構造は、固体金属導体と比べて、台座の加熱領域から熱伝導性を除去し、ウエハの熱均一性を向上させ、台座に隣接する過熱部品のリスクを低減し、ヒータ消費電力を低減することもできる。
図2は、基板支持モジュール300を表す。基板支持モジュール300は、導電性グリッドなどの導電性電極305aおよび305bと、埋設された外部リング状電極(図示せず)に電気的に接続された供給ストリップ電極304aとを有するセラミックプラテン302、および、中空セラミック支持ステム306を備える。プラテン302およびステム306は、窒化アルミニウムなどのセラミック材料で作られることが好ましく、プラテン302の底面302aは、例えば、ろう付け、摩擦溶接、拡散接合、または、他の適した技術によってステム306の上端306aに結合される。中央に位置する金属化セラミック管308は、管308の上端308aが埋設された供給ストリップ電極304aに電気的に接続された状態でステム306の内部に位置する。管308の排気口310は、プラテン302の支持面302bのガス経路312と流体連通する。金属化セラミック管308には、支持面302b上に位置する半導体基板(図示せず)の裏面にガス経路312を介して排出口322を通じて供給されるアルゴン(Ar)もしくは窒素(N2)などの不活性ガス、または、ヘリウム(He)などの伝熱ガスが供給されうる。管308の外面は、ハーメチックシール320によってプラテン302にシールされうる。ステム306の内部には、抵抗発熱体(図示せず)などの他の電極に電力を供給する電気供給ロッド314や、プラテン302の静電クランプ電極305aおよび305bに電力を供給する追加の金属化セラミック管316などの他の部品も収容される。金属化セラミック管316は、排出口324および326を通じて、プラテン302に支持されたウエハの裏面にガスを供給することもできる。
基板支持モジュール300の上に支持されたシリコンウエハ上への成膜などの半導体基板処理の間に、プラテン302は、約20℃から500℃以上の温度の間で周期をなしてよい。300mmのウエハを処理するために、プラテン302は、最大約1インチ(約2.54センチ)の厚さ、および、約15インチ(約38.1センチ)の直径を有し、ステム306は、約3インチ(約7.62センチ)の直径を有し、ステム306の底面とプラテン302の上面との間の距離は、約5インチ(約12.7センチ)でありうる。金属化セラミック管は、約4mmの直径、および、約7〜8インチ(約17.78〜20.32センチ)の長さを有し、金属被膜は、約5〜50ミクロン、好ましくは約30ミクロンの厚さを有しうる。ステム306の内部には、電気供給口、少なくとも1つのガス供給口、および少なくとも1つの熱電対などの部品が収容される。これらの部品を収容するために、金属化セラミック管308が、支持面にガスを供給でき、埋設された電極に電力を供給できることで、別個のガス供給口が不要となる。2つ以上のガス供給口が望まれる場合は、埋設された電極にガスおよび電力を供給するのに追加の金属化セラミック管が用いられうる。また、パラジウム/ロジウム(Pd/Rh)被膜ステンレス鋼またはニッケル(Ni)ロッドなどの高熱伝導性金属ロッドよりも、窒化アルミニウム管などの低熱伝導性セラミック管を用いることによって、プラテン302からの熱移動を低減することが可能である。
図3は、7つの電気供給口が見られる基板支持モジュール300の下面図を示す。本実施形態では、中央の金属化セラミック管308は、中央電極に電力を供給でき、4つの供給ロッド314は、内部抵抗発熱体および外部抵抗発熱体(図示せず)などの抵抗発熱体に電力を供給でき、2つの金属化セラミック管316は、プラテン302に埋設された静電チャック電極(図示せず)などの2つの電極に電力を供給できる。金属化セラミック管316は、プラテン302の上に支持された基板の裏面にガスを供給する、および/または、静電チャック電極にRFエネルギを供給することもできる。
図4は、基板支持モジュール300の切開図であり、1つの金属化セラミック管308がプラテン302の中央に位置し、4つのニッケル(Ni)ロッドなどの固体供給ロッド314がステム306の内面の内側の位置で円周方向に離間し、2つの金属化セラミック管316が静電クランプ電極305aおよび305bに電気的に接続されている。固体供給ロッド314は、供給ストリップ304aによって金属化セラミック管308に接続された外部リング状電極304(図9参照)の下方の位置で、プラテン302に埋設された抵抗発熱体318aおよび318b(図8参照)に電力を供給できる。金属化セラミック管308および316と電極304、305a、および305bとの間、ならびに、供給ロッド314と発熱体318aおよび318bとの間の電気接続部は、その開示が参照として本明細書に援用される、本発明の譲受人に譲渡された米国特許第9,088,085号に開示されるように、固体の端末/スタッド/ソケットを含みうる。基板支持モジュール300の製造時に、金属化セラミック管308および316は、適した焼結技術および/またはろう付け技術によって、プラテン302ならびに電極304、305a、および305bに結合されうる。
図5は、ステム306の取り付け前のプラテン302の上面斜視図である。しかし、ステム306は、供給ロッド314およびセラミック管308/316の取り付け前に、高温ろう付けまたは拡散接合によってプラテン302に結合されることが好ましい。図のように、供給ロッド314はプラテンの下面から延びており、供給ロッド314および金属化セラミック管308/316は、ステム306の長さよりも大きい距離で延びることが好ましい。例えば、供給ロッド314および金属化セラミック管308/316は、少なくとも約7〜8インチ(約17.78〜20.32センチ)、すなわち、プラテン302の下面302aから約7.25インチ(約18.415センチ)の距離で延びうる。
図6は、基板支持モジュール300の下面斜視図を示す。図のように、金属化セラミック管308、供給ロッド314、および金属化セラミック管316は、ステム306の下端から外向きに延びる。
図7は、基板支持モジュール300の断面図である。図のように、本実施形態では、プラテン302は、金属化セラミック管308に電気的に接続する中央供給ストリップ電極304aを備え、静電電極305aおよび305bへの接続部は見ることができない。
図8は、基板支持モジュール300の断面図である。図のように、金属化セラミック管308は、供給ストリップ電極304aに電気的に接続され、2つの供給ロッド314は、電極304の下方の位置でプラテン302に埋設された1つ以上の抵抗発熱体318aおよび318bに電気的に接続される。例えば、一対の供給ロッド314は、内部発熱体に接続され、もう一対の供給ロッド314は、外部発熱体に接続されうる。必要に応じて、1つの発熱体または2つ以上の発熱体があらゆる所望の幾何学的配置でプラテン302に埋設されうる。
図9は、プラテン302が、3つの同一平面上の電極と、電極に電力を供給する3つの金属化セラミック管とを備える実施形態による基板支持体の上面図である。本実施形態では、プラテン302は、同一平面上の第1、第2、および第3の電極304/305a/305bを備え、第1の電極は、第1の金属化セラミック管308(図2参照)に電気的に接続された斜めに延びる供給ストリップ304aを有する外部リング状電極304であり、第2および第3の電極は、第2および第3の金属化セラミック管316a/316b(図3参照)に電気的に接続された内部D字型電極305a/305bである。第1の金属化セラミック管308は、プラテン302の上面302bの中央において、斜めに延びる供給ストリップ304aおよび第1の排出口322を通って延びる第1のガス経路312と流体連通する。第2の金属化セラミック管316aは、第1の排出口322から第1の距離で、プラテン302の上面の第2の電極305aおよび第2の排出口324を通って延びる第2のガス経路と流体連通する。第3の金属化セラミック管316bは、第1の排出口322から第2の距離で、プラテン302の上面の第3の電極305bおよび第3の排出口326を通って延びる第3のガス経路と流体連通する。第1および第2の距離は、約1インチ(約2.54センチ)以下であることが好ましく、第2および第3の排出口324/326は、第1の排出口322から約0.75インチ(約1.905センチ)離間していることがより好ましい。プラテン302は、リフトピンがウエハをプラテン302の上に対して昇降できる3つのリフトピン穴328も備える。
金属化セラミック管308/316および供給ロッド314は、無線周波数(RF)、直流電流(DC)、および/または、交流電流(AC)をプラテン302に埋設された電極に供給するのに用いられうる。また、熱電対または他のセンサは、プラテン302に埋設された電極に電力も供給する金属化セラミック管に収容されうる。プラテン302は、酸化アルミニウム(アルミナ)、イットリア、窒化アルミニウム、窒化ホウ素、酸化シリコン、炭化シリコン、窒化シリコン、酸化チタン、酸化ジルコニウム、もしくは他の適した材料、または、それら材料の組み合わせなどの焼結セラミック材料が一体化したものであることが好ましい。各電極は、平面または非平面の構成を有してよく、導電性金属材料(例えば、タングステン、モリブデン、タンタル、ニオビウム、コバルト)または導電性非金属材料(例えば、酸化アルミニウム−炭化タンタル、酸化アルミニウム−炭化シリコン、窒化アルミニウム−タングステン、窒化アルミニウム−タンタル、酸化イットリウム−モリブデン)で作られることが好ましい。電極は、台座のセラミック材料と同時焼結される粉末材料から形成されうる。例えば、電極は、台座本体を形成するセラミック材料の層と同時焼結される導電性ペーストで形成されうる。例えば、ペーストは、ニッケル(Ni)、タングステン(W)、モリブデン(Mo)、チタン(Ti)、マンガン(Mn)、銅(Cu)、銀(Ag)、パラジウム(Pd)、プラチナ(Pt)、ロジウム(Rh)の導電性金属粉末を含みうる。あるいは、電極は、所望の電極パターンを有する堆積材料、または、所望の電極パターンを形成するようにエッチングされた堆積膜から形成されうる。さらに、電極は、予備成形されたグリッド、プレート、ワイヤメッシュ、または、他の適した電極材料および/もしくは構成を含みうる。一実施形態では、電極は、DCチャッキング電圧(例えば、約200ボルトから約2000ボルト)を供給するためにDC電力源によって給電された少なくとも1つの静電クランプ電極、RFバイアス電圧(例えば、約50ワットから約3000ワットの電力レベルにおける約400KHzから約60MHzの1つ以上の周波数)を提供するためにRF電源によって給電された少なくとも1つのRF電極、ならびに/または、適した回路を通じてDC電源およびRF電源によって給電された少なくとも1つの電極を備える。金属化セラミック管は、その内面、外面、または、内面と外面とに導電性金属被膜が施されうる。埋設された電極に導電性金属被膜を接続するために、導電性金属被膜は、電極、または、台座の裏面に位置するその端末に、機械的または冶金的に直接接合されうる。例えば、導電性金属被膜は、埋設された電極に取り付けられた端末にろう付けされうる。
半導体基板処理装置の基板台座モジュールがその特定の実施形態を参照に詳細に説明されてきたが、添付の特許請求の範囲から逸脱することなく、様々な変更および修正が行われ、同等物が用いられうることは、当業者には明らかだろう。
半導体基板プラズマ処理装置100の真空チャンバ102で半導体基板を処理するために、プロセスガスは、プロセスガス源118から注入口120およびシャワーヘッドモジュール104を通って真空チャンバ102に導入される。そこでプロセスガスは、半導体基板の上面上に膜が堆積されうるように、RFエネルギを用いてプラズマ化される。一実施形態では、複数源のガスライン122が加熱マニホルド124に接続されてよい。ガスは、予混合されてよい、または、チャンバに別々に供給されてよい。半導体基板処理時に正確なガスがシャワーヘッドモジュール104を通って供給されることが確実になるように、適切な弁操作および質量流量制御の機構が用いられる。処理時に、裏面伝熱ガスまたはパージガスは、基板台座モジュール106に支持された半導体基板の下面の下方の領域に供給される。この処理は、化学気相堆積処理、プラズマ強化化学気相堆積処理、原子層堆積処理、プラズマ強化原子層堆積処理、パルス堆積層処理、および、プラズマ強化パルス堆積層処理のうちの少なくとも1つであることが好ましい。
一実施形態により、金属化セラミック管は、(a)RFまたはAC電力の供給、および、(b)チャンバへの裏面ガスの導入、の2つの目的を果たす。管の好ましい実施形態は、台座自体の熱膨張率にほぼ一致するセラミック材料であり、類似の組成を有する材料を選択(例えば、AlN台座にはAlN管を選択し、SiC台座にはSiC管を選択)することによって、または、熱膨張率の体積平均が台座材料とほぼ一致するようになるにつれて、台座よりも大きな熱膨張率を有する少なくとも1つの位相、および、台座よりも小さい熱膨張率を有する少なくとも1つの位相を含む異相混合材料を用いることによって達成されうる。別の実施形態は、クリープ温度が部品の使用温度より低い金属もしくは合金、または、表面張力が密閉を維持して動作時に液体の移動を防ぐのに十分なように配置されたソルダーシールなどの、台座と管との間の応力緩和接続部であって、破損または他の劣化なしに熱膨張率の不一致を調整するよう形状が変化されうる応力緩和接続部を有する。
半導体基板処理装置の基板台座モジュールがその特定の実施形態を参照に詳細に説明されてきたが、添付の特許請求の範囲から逸脱することなく、様々な変更および修正が行われ、同等物が用いられうることは、当業者には明らかだろう。
本発明は、たとえば、以下のような態様で実現することもできる。
適用例1:
半導体基板を処理するための半導体基板処理装置であって、
半導体基板が処理されうる処理領域を含む真空チャンバと、
プロセスガスを前記真空チャンバに供給するために前記真空チャンバと流体連通するプロセスガス源と、
前記プロセスガス源からのプロセスガスが前記真空チャンバの前記処理領域に供給されるシャワーヘッドモジュールと、
基板台座モジュールであって、
処理時に半導体基板を支持するよう構成された上面を有するセラミック材料で作られたプラテンと、
前記プラテンを支持する上部ステムフランジを有するセラミック材料で作られたステムと、
前記ステムの内部に位置する金属化セラミック材料で作られた裏面ガス管であって、裏面ガスを前記プラテンの前記上面に供給し、電力を前記プラテンに埋設された電極に供給するよう構成される裏面ガス管と、を備える基板台座モジュールと、
を備える、半導体基板処理装置。
適用例2:
適用例1の半導体基板処理装置であって、
前記電極は、静電クランプ電極である、半導体基板処理装置。
適用例3:
適用例1の半導体基板処理装置であって、
前記電極は、RF電極である、半導体基板処理装置。
適用例4:
適用例1の半導体基板処理装置であって、
前記プラテンは、埋設された1つ以上の抵抗発熱体を備え、前記発熱体は、前記ステム内に位置する金属化セラミック供給ロッドまたは金属供給ロッドに電気的に接続される、半導体基板処理装置。
適用例5:
適用例1の半導体基板処理装置であって、
前記基板台座モジュールは、さらに、前記プラテンの温度を測定するよう構成された熱電対を備え、前記熱電対は、前記ステム内の位置で前記プラテンの裏面に取り付けられたセラミック管の内部に位置する、半導体基板処理装置。
適用例6:
適用例1の半導体基板処理装置であって、
前記裏面ガス管、前記プラテン、および前記ステムは、窒化アルミニウムで形成される、半導体基板処理装置。
適用例7:
適用例1の半導体基板処理装置であって、
前記裏面ガス管は、前記ステムの前記内部の中央に位置する、半導体基板処理装置。
適用例8:
適用例1の半導体基板処理装置であって、
前記プラテンは、埋設された外部RF電極、および、埋設された内部静電クランプ(ESC)電極を備え、前記内部ESC電極は、前記外部RF電極と同一平面上にあり、前記外部RF電極は、前記ステム内の金属化セラミック電力供給ロッドに電気的に接続され、前記内部ESC電極のそれぞれは、前記ステム内の一対の金属化セラミック供給ロッドに電気的に接続される、半導体基板処理装置。
適用例9:
適用例1の半導体基板処理装置であって、
前記金属化セラミック管は、応力緩和接続部によって前記電極に接続され、前記応力緩和接続部は、前記金属化セラミック管と前記電極との間の熱膨張差を吸収するように形状を変化させるよう構成される、半導体基板処理装置。
適用例10:
半導体基板が処理されうる処理領域を含む真空チャンバ内で半導体基板を処理するのに有効な半導体基板支持モジュールであって、
処理時に半導体基板を支持するよう構成された上面を有するセラミック材料で作られたプラテンと、
前記プラテンを支持する上部ステムフランジを有するセラミック材料で作られたステムと、
前記ステムの内部に位置する金属化セラミック材料で作られた少なくとも1つの裏面ガス管であって、裏面ガスを前記プラテンの前記上面に供給し、電力を前記プラテンに埋設された電極に供給するよう構成される少なくとも1つの裏面ガス管と、
を備える、半導体基板支持モジュール。
適用例11:
適用例10の半導体基板支持モジュールであって、
前記電極は、静電クランプ電極である、半導体基板支持モジュール。
適用例12:
適用例10の半導体基板支持モジュールであって、
前記電極は、RF電極である、半導体基板支持モジュール。
適用例13:
適用例10の半導体基板支持モジュールであって、
前記プラテンは、埋設された1つ以上の抵抗発熱体を備え、前記発熱体は、前記ステム内に位置する金属化セラミック供給ロッドまたは金属供給ロッドに電気的に接続される、半導体基板支持モジュール。
適用例14:
適用例10の半導体基板支持モジュールであって、
前記基板台座モジュールは、さらに、前記プラテンの温度を測定するよう構成された熱電対を備え、前記熱電対は、前記ステム内の位置で前記プラテンの裏面に取り付けられたセラミック管の内部に位置する、半導体基板支持モジュール。
適用例15:
適用例10の半導体基板支持モジュールであって、
前記裏面ガス管、前記プラテン、および前記ステムは、窒化アルミニウムで形成される、半導体基板支持モジュール。
適用例16:
適用例10の半導体基板支持モジュールであって、
前記裏面ガス管は、前記ステムの前記内部の中央に位置する、半導体基板支持モジュール。
適用例17:
適用例10の半導体基板支持モジュールであって、
前記プラテンは、埋設された外部RF電極、および、埋設された内部静電クランプ(ESC)電極を備え、前記内部ESC電極は、前記外部RF電極と同一平面上にあり、前記外部RF電極は、前記ステム内の金属化セラミック電力供給ロッドに電気的に接続され、前記内部ESC電極のそれぞれは、前記ステム内の一対の金属化セラミック供給ロッドに電気的に接続される、半導体基板支持モジュール。
適用例18:
適用例10の半導体基板支持モジュールであって、
前記金属化セラミック管は、応力緩和接続部によって前記電極に接続され、前記応力緩和接続部は、前記金属化セラミック管と前記電極との間の熱膨張差を吸収するように形状を変化させるよう構成される、半導体基板支持モジュール。
適用例19:
適用例10の半導体基板支持モジュールであって、
前記金属化セラミック管は、前記ステムの長さより大きい長さを有し、その外面上にだけ導電性被膜を含む、半導体基板支持モジュール。
適用例20:
適用例10の半導体基板支持モジュールであって、
前記少なくとも1つの裏面ガス管は、第1、第2、および第3の金属化セラミック管を備え、前記プラテンは、同一平面上の第1、第2、および第3の電極を備え、前記第1の電極は、前記第1の金属化セラミック管に電気的に接続された斜めに延びる供給ストリップを有する外部リング状電極であり、前記第2および前記第3の電極は、前記第2および前記第3の金属化セラミック管に電気的に接続された内部D字形電極であり、前記第1の金属化セラミック管は、前記斜めに延びる供給ストリップおよび前記プラテンの上面中央の第1の排出口を通って延びる第1のガス経路と流体連通し、前記第2の金属化セラミック管は、前記第1の排出口から第1の距離で、前記第2の電極および前記プラテンの前記上面の第2の排出口を通って延びる第2のガス経路と流体連通し、前記第3の金属化セラミック管は、前記第1の排出口から第2の距離で、前記第3の電極および前記プラテンの前記上面の第3の排出口を通って延びる第3のガス経路と流体連通し、前記第1および前記第2の距離は、約1インチ(2.54センチ)以下である、半導体基板支持モジュール。

Claims (20)

  1. 半導体基板を処理するための半導体基板処理装置であって、
    半導体基板が処理されうる処理領域を含む真空チャンバと、
    プロセスガスを前記真空チャンバに供給するために前記真空チャンバと流体連通するプロセスガス源と、
    前記プロセスガス源からのプロセスガスが前記真空チャンバの前記処理領域に供給されるシャワーヘッドモジュールと、
    基板台座モジュールであって、
    処理時に半導体基板を支持するよう構成された上面を有するセラミック材料で作られたプラテンと、
    前記プラテンを支持する上部ステムフランジを有するセラミック材料で作られたステムと、
    前記ステムの内部に位置する金属化セラミック材料で作られた裏面ガス管であって、裏面ガスを前記プラテンの前記上面に供給し、電力を前記プラテンに埋設された電極に供給するよう構成される裏面ガス管と、を備える基板台座モジュールと、
    を備える、半導体基板処理装置。
  2. 請求項1に記載の半導体基板処理装置であって、
    前記電極は、静電クランプ電極である、半導体基板処理装置。
  3. 請求項1に記載の半導体基板処理装置であって、
    前記電極は、RF電極である、半導体基板処理装置。
  4. 請求項1に記載の半導体基板処理装置であって、
    前記プラテンは、埋設された1つ以上の抵抗発熱体を備え、前記発熱体は、前記ステム内に位置する金属化セラミック供給ロッドまたは金属供給ロッドに電気的に接続される、半導体基板処理装置。
  5. 請求項1に記載の半導体基板処理装置であって、
    前記基板台座モジュールは、さらに、前記プラテンの温度を測定するよう構成された熱電対を備え、前記熱電対は、前記ステム内の位置で前記プラテンの裏面に取り付けられたセラミック管の内部に位置する、半導体基板処理装置。
  6. 請求項1に記載の半導体基板処理装置であって、
    前記裏面ガス管、前記プラテン、および前記ステムは、窒化アルミニウムで形成される、半導体基板処理装置。
  7. 請求項1に記載の半導体基板処理装置であって、
    前記裏面ガス管は、前記ステムの前記内部の中央に位置する、半導体基板処理装置。
  8. 請求項1に記載の半導体基板処理装置であって、
    前記プラテンは、埋設された外部RF電極、および、埋設された内部静電クランプ(ESC)電極を備え、前記内部ESC電極は、前記外部RF電極と同一平面上にあり、前記外部RF電極は、前記ステム内の金属化セラミック電力供給ロッドに電気的に接続され、前記内部ESC電極のそれぞれは、前記ステム内の一対の金属化セラミック供給ロッドに電気的に接続される、半導体基板処理装置。
  9. 請求項1に記載の半導体基板処理装置であって、
    前記金属化セラミック管は、応力緩和接続部によって前記電極に接続され、前記応力緩和接続部は、前記金属化セラミック管と前記電極との間の熱膨張差を吸収するように形状を変化させるよう構成される、半導体基板処理装置。
  10. 半導体基板が処理されうる処理領域を含む真空チャンバ内で半導体基板を処理するのに有効な半導体基板支持モジュールであって、
    処理時に半導体基板を支持するよう構成された上面を有するセラミック材料で作られたプラテンと、
    前記プラテンを支持する上部ステムフランジを有するセラミック材料で作られたステムと、
    前記ステムの内部に位置する金属化セラミック材料で作られた少なくとも1つの裏面ガス管であって、裏面ガスを前記プラテンの前記上面に供給し、電力を前記プラテンに埋設された電極に供給するよう構成される少なくとも1つの裏面ガス管と、
    を備える、半導体基板支持モジュール。
  11. 請求項10に記載の半導体基板支持モジュールであって、
    前記電極は、静電クランプ電極である、半導体基板支持モジュール。
  12. 請求項10に記載の半導体基板支持モジュールであって、
    前記電極は、RF電極である、半導体基板支持モジュール。
  13. 請求項10に記載の半導体基板支持モジュールであって、
    前記プラテンは、埋設された1つ以上の抵抗発熱体を備え、前記発熱体は、前記ステム内に位置する金属化セラミック供給ロッドまたは金属供給ロッドに電気的に接続される、半導体基板支持モジュール。
  14. 請求項10に記載の半導体基板支持モジュールであって、
    前記基板台座モジュールは、さらに、前記プラテンの温度を測定するよう構成された熱電対を備え、前記熱電対は、前記ステム内の位置で前記プラテンの裏面に取り付けられたセラミック管の内部に位置する、半導体基板支持モジュール。
  15. 請求項10に記載の半導体基板支持モジュールであって、
    前記裏面ガス管、前記プラテン、および前記ステムは、窒化アルミニウムで形成される、半導体基板支持モジュール。
  16. 請求項10に記載の半導体基板支持モジュールであって、
    前記裏面ガス管は、前記ステムの前記内部の中央に位置する、半導体基板支持モジュール。
  17. 請求項10に記載の半導体基板支持モジュールであって、
    前記プラテンは、埋設された外部RF電極、および、埋設された内部静電クランプ(ESC)電極を備え、前記内部ESC電極は、前記外部RF電極と同一平面上にあり、前記外部RF電極は、前記ステム内の金属化セラミック電力供給ロッドに電気的に接続され、前記内部ESC電極のそれぞれは、前記ステム内の一対の金属化セラミック供給ロッドに電気的に接続される、半導体基板支持モジュール。
  18. 請求項10に記載の半導体基板支持モジュールであって、
    前記金属化セラミック管は、応力緩和接続部によって前記電極に接続され、前記応力緩和接続部は、前記金属化セラミック管と前記電極との間の熱膨張差を吸収するように形状を変化させるよう構成される、半導体基板支持モジュール。
  19. 請求項10に記載の半導体基板支持モジュールであって、
    前記金属化セラミック管は、前記ステムの長さより大きい長さを有し、その外面上にだけ導電性被膜を含む、半導体基板支持モジュール。
  20. 請求項10に記載の半導体基板支持モジュールであって、
    前記少なくとも1つの裏面ガス管は、第1、第2、および第3の金属化セラミック管を備え、前記プラテンは、同一平面上の第1、第2、および第3の電極を備え、前記第1の電極は、前記第1の金属化セラミック管に電気的に接続された斜めに延びる供給ストリップを有する外部リング状電極であり、前記第2および前記第3の電極は、前記第2および前記第3の金属化セラミック管に電気的に接続された内部D字形電極であり、前記第1の金属化セラミック管は、前記斜めに延びる供給ストリップおよび前記プラテンの上面中央の第1の排出口を通って延びる第1のガス経路と流体連通し、前記第2の金属化セラミック管は、前記第1の排出口から第1の距離で、前記第2の電極および前記プラテンの前記上面の第2の排出口を通って延びる第2のガス経路と流体連通し、前記第3の金属化セラミック管は、前記第1の排出口から第2の距離で、前記第3の電極および前記プラテンの前記上面の第3の排出口を通って延びる第3のガス経路と流体連通し、前記第1および前記第2の距離は、約1インチ(2.54センチ)以下である、半導体基板支持モジュール。
JP2018101957A 2017-05-30 2018-05-29 Rfおよびガス供給のための金属化セラミック管を備える基板台座モジュール Active JP7335060B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023132747A JP2023154070A (ja) 2017-05-30 2023-08-17 Rfおよびガス供給のための金属化セラミック管を備える基板台座モジュール

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/608,135 US10147610B1 (en) 2017-05-30 2017-05-30 Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
US15/608,135 2017-05-30

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023132747A Division JP2023154070A (ja) 2017-05-30 2023-08-17 Rfおよびガス供給のための金属化セラミック管を備える基板台座モジュール

Publications (2)

Publication Number Publication Date
JP2018204104A true JP2018204104A (ja) 2018-12-27
JP7335060B2 JP7335060B2 (ja) 2023-08-29

Family

ID=64452019

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2018101957A Active JP7335060B2 (ja) 2017-05-30 2018-05-29 Rfおよびガス供給のための金属化セラミック管を備える基板台座モジュール
JP2023132747A Pending JP2023154070A (ja) 2017-05-30 2023-08-17 Rfおよびガス供給のための金属化セラミック管を備える基板台座モジュール

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023132747A Pending JP2023154070A (ja) 2017-05-30 2023-08-17 Rfおよびガス供給のための金属化セラミック管を備える基板台座モジュール

Country Status (4)

Country Link
US (3) US10147610B1 (ja)
JP (2) JP7335060B2 (ja)
KR (2) KR102620122B1 (ja)
CN (2) CN108987229B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021031743A (ja) * 2019-08-27 2021-03-01 京セラ株式会社 ウェハ用部材及びウェハ用装置

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9738975B2 (en) 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10147610B1 (en) 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
US11289355B2 (en) * 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11018048B2 (en) * 2017-11-21 2021-05-25 Watlow Electric Manufacturing Company Ceramic pedestal having atomic protective layer
CN111670491A (zh) 2018-01-31 2020-09-15 朗姆研究公司 静电卡盘(esc)基座电压隔离
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US20220199379A1 (en) * 2019-04-26 2022-06-23 Lam Research Corporation High temperature heating of a substrate in a processing chamber
CN114245936A (zh) * 2019-08-08 2022-03-25 日本碍子株式会社 半导体制造装置用构件
WO2021041002A1 (en) * 2019-08-26 2021-03-04 Applied Materials, Inc. Semiconductor processing apparatus with improved uniformity
JP7447154B2 (ja) * 2020-01-31 2024-03-11 京セラ株式会社 セラミック構造体の製造方法
US20230134061A1 (en) * 2020-03-19 2023-05-04 Lam Research Corporation Showerhead purge collar
CN111607785A (zh) * 2020-05-26 2020-09-01 北京北方华创微电子装备有限公司 一种加热装置及半导体加工设备
US20220127723A1 (en) * 2020-10-23 2022-04-28 Applied Materials, Inc. High heat loss heater and electrostatic chuck for semiconductor processing
JP7209900B1 (ja) * 2020-12-31 2023-01-20 ミコ セラミックス リミテッド セラミックサセプター
US20240112894A1 (en) * 2022-09-30 2024-04-04 Applied Materials, Inc. Fluid conduit and flange for high bias applications

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6500299B1 (en) * 1999-07-22 2002-12-31 Applied Materials Inc. Chamber having improved gas feed-through and method
JP2009054871A (ja) * 2007-08-28 2009-03-12 Tokyo Electron Ltd 載置台構造及び処理装置
WO2014098224A1 (ja) * 2012-12-21 2014-06-26 京セラ株式会社 試料保持具
JP2016213456A (ja) * 2015-05-12 2016-12-15 ラム リサーチ コーポレーションLam Research Corporation 背面ガス供給管を備えた基板ペデスタルモジュールおよびその製造方法

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0628644B1 (en) 1993-05-27 2003-04-02 Applied Materials, Inc. Improvements in or relating to susceptors suitable for use in chemical vapour deposition devices
US6544379B2 (en) 1993-09-16 2003-04-08 Hitachi, Ltd. Method of holding substrate and substrate holding system
US5476548A (en) 1994-06-20 1995-12-19 Applied Materials, Inc. Reducing backside deposition in a substrate processing apparatus through the use of a shadow ring
US5671116A (en) 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
US5968379A (en) * 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US5810933A (en) 1996-02-16 1998-09-22 Novellus Systems, Inc. Wafer cooling device
TW334609B (en) * 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
US6082297A (en) 1997-09-12 2000-07-04 Novellus Sytems, Inc. Encapsulated thermofoil heater apparatus and associated methods
US6063202A (en) 1997-09-26 2000-05-16 Novellus Systems, Inc. Apparatus for backside and edge exclusion of polymer film during chemical vapor deposition
US6126382A (en) 1997-11-26 2000-10-03 Novellus Systems, Inc. Apparatus for aligning substrate to chuck in processing chamber
US6103074A (en) * 1998-02-14 2000-08-15 Phygen, Inc. Cathode arc vapor deposition method and apparatus
US6086246A (en) 1998-05-26 2000-07-11 Novellus Systems, Inc. Two-element plasma resistant lightpipe assembly
US6423949B1 (en) 1999-05-19 2002-07-23 Applied Materials, Inc. Multi-zone resistive heater
US6561796B1 (en) 1999-09-07 2003-05-13 Novellus Systems, Inc. Method of semiconductor wafer heating to prevent bowing
US6494955B1 (en) * 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
JP4398064B2 (ja) 2000-05-12 2010-01-13 日本発條株式会社 加熱装置
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
US6468384B1 (en) 2000-11-09 2002-10-22 Novellus Systems, Inc. Predictive wafer temperature control system and method
US6730175B2 (en) * 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US6646233B2 (en) 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
JP4060684B2 (ja) * 2002-10-29 2008-03-12 日本発条株式会社 ステージ
JP2005018992A (ja) * 2003-06-23 2005-01-20 Ibiden Co Ltd プラズマ発生装置用電極埋設部材
US7154731B1 (en) 2003-07-18 2006-12-26 Novellus Systems, Inc. Reflective coating for electrostatic chucks
WO2005093806A1 (ja) 2004-03-26 2005-10-06 Hitachi Kokusai Electric Inc. 半導体製造装置および半導体装置の製造方法
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
JP4365766B2 (ja) 2004-10-26 2009-11-18 京セラ株式会社 ウェハ支持部材とそれを用いた半導体製造装置
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US20060281310A1 (en) 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
JP2007051317A (ja) 2005-08-16 2007-03-01 Ngk Insulators Ltd 加熱装置
JP2007258585A (ja) 2006-03-24 2007-10-04 Tokyo Electron Ltd 基板載置機構および基板処理装置
KR20080091072A (ko) * 2006-05-24 2008-10-09 에스이아이 하이브리드 가부시키가이샤 웨이퍼 유지체와 그 제조 방법 및 반도체 제조 장치
US7848075B2 (en) 2006-07-19 2010-12-07 Ngk Insulators, Ltd. Electrostatic chuck with heater
JP5183058B2 (ja) * 2006-07-20 2013-04-17 アプライド マテリアルズ インコーポレイテッド 急速温度勾配コントロールによる基板処理
US20080062609A1 (en) 2006-08-10 2008-03-13 Shinji Himori Electrostatic chuck device
US7667944B2 (en) 2007-06-29 2010-02-23 Praxair Technology, Inc. Polyceramic e-chuck
JP5660753B2 (ja) * 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
JP5014080B2 (ja) 2007-11-19 2012-08-29 コバレントマテリアル株式会社 面状ヒータ
KR101404010B1 (ko) * 2008-03-06 2014-06-12 주성엔지니어링(주) 기판 가장자리 식각장치 및 이를 이용한 기판 가장자리식각방법
US7558045B1 (en) 2008-03-20 2009-07-07 Novellus Systems, Inc. Electrostatic chuck assembly with capacitive sense feature, and related operating method
US8206552B2 (en) 2008-06-25 2012-06-26 Applied Materials, Inc. RF power delivery system in a semiconductor apparatus
US9064911B2 (en) 2008-10-24 2015-06-23 Applied Materials, Inc. Heated cooling plate for E-chucks and pedestals
US20100243609A1 (en) 2009-03-30 2010-09-30 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
FR2945876B1 (fr) 2009-05-19 2012-03-23 St Microelectronics Sa Dispositif de regulation d'un generateur a pompe de charges et procede de regulation correspondant
US8753447B2 (en) 2009-06-10 2014-06-17 Novellus Systems, Inc. Heat shield for heater in semiconductor processing apparatus
JP5349232B2 (ja) * 2009-09-17 2013-11-20 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
JP5513104B2 (ja) * 2009-12-28 2014-06-04 東京エレクトロン株式会社 プラズマ処理装置
US8597448B2 (en) 2009-12-29 2013-12-03 Novellus Systems, Inc. Electrostatic chucks and methods for refurbishing same
JP5218865B2 (ja) 2010-03-26 2013-06-26 Toto株式会社 静電チャック
US20120103970A1 (en) 2010-05-13 2012-05-03 Applied Materials, Inc. Heater with independent center zone control
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
JP5896595B2 (ja) 2010-10-20 2016-03-30 ラム リサーチ コーポレーションLam Research Corporation 2層rf構造のウエハ保持体
US20120164834A1 (en) * 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9337067B2 (en) 2011-05-13 2016-05-10 Novellus Systems, Inc. High temperature electrostatic chuck with radial thermal chokes
US8618446B2 (en) 2011-06-30 2013-12-31 Applied Materials, Inc. Substrate support with substrate heater and symmetric RF return
US9117867B2 (en) * 2011-07-01 2015-08-25 Applied Materials, Inc. Electrostatic chuck assembly
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9984866B2 (en) 2012-06-12 2018-05-29 Component Re-Engineering Company, Inc. Multiple zone heater
US9490150B2 (en) * 2012-07-03 2016-11-08 Applied Materials, Inc. Substrate support for substrate backside contamination control
US9088085B2 (en) 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
CN110610894B (zh) * 2012-11-27 2023-08-04 盛美半导体设备(上海)股份有限公司 使用基板支撑装置清洗基板背面的方法
KR102042083B1 (ko) 2013-03-15 2019-11-27 어플라이드 머티어리얼스, 인코포레이티드 정전 척의 수리 및 복원을 위한 방법 및 장치
US8841574B1 (en) * 2013-11-18 2014-09-23 Georges J. Gorin Plasma extension and concentration apparatus and method
KR102376429B1 (ko) * 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
US20150194326A1 (en) * 2014-01-07 2015-07-09 Applied Materials, Inc. Pecvd ceramic heater with wide range of operating temperatures
WO2015146563A1 (ja) 2014-03-27 2015-10-01 日本碍子株式会社 セラミックスプレートと金属製の円筒部材との接合構造
US9428836B2 (en) 2014-04-29 2016-08-30 Lam Research Corporation Electroless deposition of continuous cobalt layer using complexed Ti3+ metal ions as reducing agents
KR20190010748A (ko) 2014-06-23 2019-01-30 니혼도꾸슈도교 가부시키가이샤 정전 척
TWI654332B (zh) 2014-07-02 2019-03-21 美商應用材料股份有限公司 用於電漿處理的多區域基座
WO2016094404A1 (en) 2014-12-11 2016-06-16 Applied Materials, Inc. Electrostatic chuck for high temperature rf applications
US20160225652A1 (en) * 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9859088B2 (en) 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
US10177024B2 (en) * 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US10253412B2 (en) 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US9428833B1 (en) 2015-05-29 2016-08-30 Lam Research Corporation Method and apparatus for backside deposition reduction by control of wafer support to achieve edge seal
US10950477B2 (en) 2015-08-07 2021-03-16 Applied Materials, Inc. Ceramic heater and esc with enhanced wafer edge performance
US10541117B2 (en) 2015-10-29 2020-01-21 Lam Research Corporation Systems and methods for tilting a wafer for achieving deposition uniformity
JP6614933B2 (ja) 2015-11-11 2019-12-04 東京エレクトロン株式会社 基板載置機構および基板処理装置
US9824941B2 (en) 2015-11-17 2017-11-21 Lam Research Corporation Systems and methods for detection of plasma instability by electrical measurement
US10147610B1 (en) * 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6500299B1 (en) * 1999-07-22 2002-12-31 Applied Materials Inc. Chamber having improved gas feed-through and method
JP2009054871A (ja) * 2007-08-28 2009-03-12 Tokyo Electron Ltd 載置台構造及び処理装置
WO2014098224A1 (ja) * 2012-12-21 2014-06-26 京セラ株式会社 試料保持具
JP2016213456A (ja) * 2015-05-12 2016-12-15 ラム リサーチ コーポレーションLam Research Corporation 背面ガス供給管を備えた基板ペデスタルモジュールおよびその製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021031743A (ja) * 2019-08-27 2021-03-01 京セラ株式会社 ウェハ用部材及びウェハ用装置
JP7237776B2 (ja) 2019-08-27 2023-03-13 京セラ株式会社 ウェハ用部材及びウェハ用装置

Also Published As

Publication number Publication date
KR20180131423A (ko) 2018-12-10
CN116153754A (zh) 2023-05-23
US20180350610A1 (en) 2018-12-06
KR102620122B1 (ko) 2023-12-29
US20200051822A1 (en) 2020-02-13
CN108987229A (zh) 2018-12-11
JP2023154070A (ja) 2023-10-18
US10147610B1 (en) 2018-12-04
CN108987229B (zh) 2023-02-03
US10964545B2 (en) 2021-03-30
JP7335060B2 (ja) 2023-08-29
US10431467B2 (en) 2019-10-01
US20190109008A1 (en) 2019-04-11
KR20240006480A (ko) 2024-01-15

Similar Documents

Publication Publication Date Title
JP7335060B2 (ja) Rfおよびガス供給のための金属化セラミック管を備える基板台座モジュール
JP7271443B2 (ja) 半導体処理で使用するための静電チャック
JPWO2019102794A1 (ja) 発熱部材
TWI710055B (zh) 靜電吸盤
JP2003188248A (ja) ウェハ支持部材
TW202212625A (zh) 基板處理設備

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180905

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210414

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220411

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220517

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220815

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220921

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230407

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230718

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230817

R150 Certificate of patent or registration of utility model

Ref document number: 7335060

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150