KR20240006480A - Rf 및 가스 전달을 위한 금속화된 세라믹 튜브들을 포함하는 기판 페데스탈 모듈 - Google Patents

Rf 및 가스 전달을 위한 금속화된 세라믹 튜브들을 포함하는 기판 페데스탈 모듈 Download PDF

Info

Publication number
KR20240006480A
KR20240006480A KR1020230192926A KR20230192926A KR20240006480A KR 20240006480 A KR20240006480 A KR 20240006480A KR 1020230192926 A KR1020230192926 A KR 1020230192926A KR 20230192926 A KR20230192926 A KR 20230192926A KR 20240006480 A KR20240006480 A KR 20240006480A
Authority
KR
South Korea
Prior art keywords
electrode
metallized ceramic
ceramic tube
module
metallized
Prior art date
Application number
KR1020230192926A
Other languages
English (en)
Inventor
람키샨 라오 링엄팰리
조엘 홀링스워스
브래들리 베이커
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20240006480A publication Critical patent/KR20240006480A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

반도체 기판 프로세싱 장치는 반도체 기판이 프로세싱될 수도 있는 프로세싱 존을 갖는 진공 챔버, 프로세스 가스를 진공 챔버로 공급하기 위해 진공 챔버와 유체로 연통하는 프로세스 가스 소스, 프로세스 가스 소스로부터의 프로세스 가스가 진공 챔버의 프로세싱 존으로 공급되는 샤워헤드 모듈, 및 기판 페데스탈 모듈을 포함한다. 기판 페데스탈 모듈은 프로세싱 동안 상부에 반도체 기판을 지지하도록 구성된 상부 표면을 갖는 세라믹 재료로 이루어진 페데스탈, 세라믹 재료로 이루어진 스템, 및 스템의 내부에 위치되는 금속화된 세라믹 재료로 이루어진 후면 가스 튜브를 포함한다. 금속화된 세라믹 튜브는 기판으로 후면 가스를 전달하고 페데스탈 내에 임베딩된 전극으로 RF 전력을 공급하도록 사용될 수 있다.

Description

RF 및 가스 전달을 위한 금속화된 세라믹 튜브들을 포함하는 기판 페데스탈 모듈{SUBSTRATE PEDESTAL MODULE INCLUDING METALLIZED CERAMIC TUBES FOR RF AND GAS DELIVERY}
본 발명은 반도체 기판들을 프로세싱하기 위한 반도체 기판 프로세싱 장치들에 관한 것이고, 박막들을 증착하기 위해 동작가능한 PECVD (plasma-enhanced chemical vapor depositions) 프로세싱 장치들에서 특정한 용도를 찾을 수도 있다.
반도체 기판 프로세싱 장치들은 에칭, PVD (physical vapor deposition), CVD (chemical vapor deposition), PECVD (plasma-enhanced CVD), ALD (atomic layer deposition), PEALD (plasma-enhanced ALD), PDL (pulsed deposition layer), PEPDL (plasma-enhanced pulsed deposition layer), 및 레지스트 제거를 포함하는 기법들에 의해 반도체 기판들을 프로세싱하도록 사용된다. 일 타입의 반도체 기판 프로세싱 장치는 상부 전극 및 하부 전극을 포함하는 반응 챔버를 포함하는 플라즈마 프로세싱 장치이고, 반응 챔버 내에서 반도체 기판들을 프로세싱하기 위해 프로세스 가스를 플라즈마로 여기하도록 RF (radio frequency) 전력이 전극들 사이에 인가된다.
반도체 기판이 프로세싱될 수도 있는 프로세싱 존을 포함하는 진공 챔버; 프로세스 가스를 진공 챔버로 공급하기 위해 진공 챔버와 유체로 연통하는 프로세스 가스 소스; 프로세스 가스 소스로부터의 프로세스 가스가 진공 챔버의 프로세싱 존으로 공급되는 샤워헤드 모듈; 및 프로세싱 동안 상부에 반도체 기판을 지지하도록 구성된 상부 표면을 갖는, 세라믹 재료로 이루어진 플레튼; 플레튼을 지지하는 상부 스템 플랜지를 갖는, 세라믹 재료로 이루어진 스템; 및 스템의 내부에 위치되는, 금속화된 세라믹 재료로 이루어진 적어도 하나의 후면 가스 튜브를 포함하는, 기판 페데스탈 모듈로서, 후면 가스 튜브는 플레튼의 상부 표면으로 후면 가스를 공급하고 플레튼 내에 임베딩된 전극으로 전력을 공급하도록 구성되는, 기판 페데스탈 모듈을 반도체 기판들을 프로세싱하기 위한 반도체 기판 프로세싱 장치는, 반도체 기판들을 프로세싱하기 위한 반도체 기판 프로세싱 장치가 본 명세서에 기재된다.
일 실시예에 따라, 전극은 정전 클램핑 전극, RF 전극 또는 이의 조합이다. 플레튼은 내부에 임베딩된 하나 이상의 저항 히터들을 포함할 수 있고 히터들은 스템 내부에 위치된 금속화된 세라믹 피드 막대들 (rods) 또는 금속 피드 막대들에 전기적으로 접속된다. 페데스탈 모듈은 플레튼의 온도를 측정하도록 구성된 열전대 (thermocouple) 를 더 포함할 수 있고, 열전대는 상기 스템 내부의 위치에서 플레튼의 하측부에 부착된 세라믹 튜브 내부에 위치된다. 바람직하게, 후면 가스 튜브, 플레튼 및 스템은 알루미늄 나이트라이드와 같은 동일한 세라믹 재료로 형성되고, 그리고/또는 후면 가스 튜브는 스템의 내부에서 중앙에 위치된다. 일 예시적인 실시예에서, 플레튼은 내부에 임베딩된 외측 RF 전극 및 내부에 임베딩된 내측 ESC (electrostatic clamping) 전극들을 포함하고, 내측 ESC 전극들은 외측 RF 전극과 코플래너이고, 외측 RF 전극은 스템 내부의 금속화된 세라믹 튜브에 전기적으로 접속된 방사상으로 연장하는 피드 스트립을 포함하고, 그리고 내측 ESC 전극들은 스템 내부의 한 쌍의 금속화된 세라믹 피드 막대들 또는 금속화된 세라믹 피드 튜브들에 전기적으로 접속된다. 또 다른 실시예에서, 금속화된 세라믹 튜브는 응력 완화 접속부에 의해 전극에 접속되고, 응력 완화 접속부는 금속화된 세라믹 튜브와 전극 사이의 차동 열 팽창을 수용하도록 형상을 변화시키게 구성된다. 금속화된 세라믹 튜브는 스템의 길이보다 큰 길이를 가질 수 있고, 그리고/또는 외측 표면 상에만 전기적으로 도전성 코팅을 포함할 수 있다.
일 예시적인 실시예에서, 적어도 하나의 후면 가스 튜브는 제 1 금속화된 세라믹 튜브, 제 2 금속화된 세라믹 튜브 및 제 3 금속화된 세라믹 튜브를 포함하고, 그리고 플레튼은 제 1 코플래너 전극, 제 2 코플래너 전극 및 제 3 코플래너 전극을 포함하고, 제 1 전극은 제 1 금속화된 세라믹 튜브에 전기적으로 접속하는 대각선으로 연장하는 피드 스트립을 갖는 외측 링 형상 전극이고 제 2 전극 및 제 3 전극은 제 2 금속화된 세라믹 튜브 및 제 3 금속화된 세라믹 튜브에 전기적으로 접속된 내측 D-형상 전극들이다. 제 1 금속화된 세라믹 튜브는 대각선으로 연장하는 피드 스트립을 통해 연장하는 제 1 가스 통로 및 플레튼의 상부 표면의 중앙에서 제 1 유출부와 유체로 연통할 수 있다. 제 2 금속화된 세라믹 튜브는 제 2 전극을 통해 연장하는 제 2 가스 통로 및 제 1 유출부로부터 제 1 거리의 플레튼의 상부 표면의 제 2 유출부와 유체로 연통할 수 있다. 제 3 금속화된 세라믹 튜브는 제 3 전극을 통해 연장하는 제 3 가스 통로 및 제 1 유출부로부터 제 2 거리의 플레튼의 상부 표면의 제 3 유출부와 유체로 연통할 수 있고, 제 1 거리 및 제 2 거리는 약 1 인치 이하이다.
반도체 기판이 프로세싱될 수도 있는 프로세싱 존을 포함하는 진공 챔버 내에서, 반도체 기판들을 프로세싱하는데 유용한 반도체 기판 지지 모듈이 또한 본 명세서에 기재된다. 기판 지지 모듈은, 프로세싱 동안 상부에 반도체 기판을 지지하도록 구성된 상부 표면을 갖는, 세라믹 재료로 이루어진 플레튼; 플레튼을 지지하는 상부 스템 플랜지를 갖는, 세라믹 재료로 이루어진 스템; 및 스템의 내부에 위치되는, 금속화된 세라믹 재료로 이루어진 후면 가스 튜브로서, 후면 가스 튜브는 플레튼의 상부 표면으로 후면 가스를 공급하고 플레튼 내에 임베딩된 전극으로 전력을 공급하도록 구성되는, 후면 가스 튜브를 포함한다.
도 1은 본 명세서에 기재된 실시예들에 따른 화학적 증착 장치의 개요를 도시하는 개략도를 예시한다.
도 2는 본 명세서에 기재된 실시예에 따른 기판 페데스탈 모듈의 단면을 도시한다.
도 3은 도 2에 도시된 기판 페데스탈 모듈의 하단도를 예시한다.
도 4는 도 2에 도시된 기판 지지 모듈의 단면 사시도이다.
도 5는 도 2에 도시된 기판 지지 모듈의 상단 사시도이다.
도 6은 도 2에 도시된 기판 지지 모듈의 하단 사시도이다.
도 7은 스템 내부에 단일 금속화된 세라믹 튜브를 갖는 기판 지지 모듈의 단면도이다.
도 8은 임베딩된 RF 전극에 연결된 금속화된 세라믹 튜브 및 RF 전극 아래 위치의 페데스탈 내에 임베딩된 2 개의 저항 히터들에 연결된 2 개의 피드 막대들을 갖는 기판 지지 모듈의 단면도이다.
도 9는 외측 링 형상 전극이 피드 스트립의 통로를 통해 불활성 가스를 전달하는 중앙 금속화 세라믹 튜브에 의해 전력이 공급되는 대각선 피드 스트립을 포함하는, 일 실시예에 따른 기판 지지부의 상면도이다.
이하의 상세한 기술에서, 다수의 특정한 실시예들이 본 명세서에 기재된 장치 및 방법들의 충분한 이해를 제공하기 위해 설명된다. 그러나, 본 실시예들이 이들 특정한 세부사항들 없이 또는 대체의 엘리먼트들 또는 프로세스들을 사용함으로써 실시될 수도 있다는 것은 당업자에게 명백할 것이다. 다른 예시들에서, 공지의 프로세스들, 절차들, 및/또는 컴포넌트들은 본 명세서에 기재된 실시예들의 양태를 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 본 명세서에 사용된 바와 같이, 용어 "약"은 ± 10 %를 참조한다.
나타낸 바와 같이, 본 실시예들은 CVD 장치 또는 PECVD 장치와 같은 반도체 기판 프로세싱 장치 내에서 반도체 기판을 프로세싱하기 위한 장치 및 연관된 방법들을 제공한다. 장치 및 방법들은 프로세싱될 반도체 기판이 약 550 ℃ 초과, 예컨대 약 550 ℃ 내지 약 650 ℃ 이상의 온도들로 가열되는 고온 증착 프로세스들과 같은, 반도체 기판들의 고온 프로세싱과 함께 사용하는데 특히 적용가능하다.
본 명세서에 기재된 실시예들은 바람직하게 플라즈마-강화된 (plasma-enhanced) 화학적 증착 장치 (즉, PECVD 장치, PEALD 장치, 또는 PEPDL 장치) 에서 구현되지만, 이렇게 제한되지 않는다.
도 1은 본 명세서에 기재된 바와 같이 실시예들을 구현하기 위해 구성된 다양한 반도체 기판 플라즈마 프로세싱 장치 컴포넌트들을 도시하는 단순한 블록도를 제공한다. 도시된 바와 같이, 반도체 기판 플라즈마 프로세싱 장치 (100) 는 내부에 하부 RF 전극 (미도시) 을 갖는 기판 페데스탈 모듈 (106) 과 함께 작용하는, 내부에 상부 RF 전극 (미도시) 을 갖는 샤워헤드 모듈 (104) 을 포함하는 커패시터 타입 시스템에 의해 생성되는, 프로세싱 존 내에 플라즈마를 담도록 기능하는 진공 챔버 (102) 를 포함한다. 적어도 하나의 RF 생성기는 플라즈마 증착 프로세스가 진공 챔버 (102) 내에서 수행될 수도 있도록 진공 챔버 (102) 의 프로세싱 존 내로 공급된 프로세스 가스를 에너자이징하기 위해 (energize) 진공 챔버 (102) 내에서 반도체 기판 (108) 의 상부 표면 위의 프로세싱 존 내로 RF 에너지를 공급하도록 동작가능하다. 예를 들어, 고주파수 RF 생성기 (110) 및 저주파수 RF 생성기 (112) 는 각각 RF 에너지가 진공 챔버 (102) 내에서 반도체 기판 (108) 위의 프로세싱 존에 공급될 수도 있도록 샤워헤드 모듈 (104) 의 상부 RF 전극에 연결되는, 매칭 네트워크 (114) 에 연결될 수도 있다.
매칭 네트워크 (114) 에 의해 진공 챔버 (102) 의 내부로 공급된 RF 에너지의 전력 및 주파수는 프로세스 가스로부터 플라즈마를 생성하기 충분하다. 일 실시예에서, 고주파수 RF 생성기 (110) 및 저주파수 RF 생성기 (112) 모두가 사용되고, 대안적인 실시예에서, 고주파수 RF 생성기 (110) 만이 사용된다. 프로세스에서, 고주파수 RF 생성기 (110) 는 약 2 내지 100 ㎒; 바람직한 실시예에서, 13.56 ㎒ 또는 27 ㎒의 주파수들에서 동작될 수도 있다. 저주파수 RF 생성기 (112) 는 약 50 ㎑ 내지 2 ㎒; 바람직한 실시예에서, 약 350 내지 600 ㎑에서 동작될 수도 있다. 프로세스 파라미터들은 챔버 체적, 기판 사이즈, 및 다른 인자들에 기초하여 스케일링될 수도 있다. 유사하게, 프로세스 가스의 플로우 레이트들은 진공 챔버 또는 프로세싱 존의 빈 볼륨 (free volume) 에 종속될 수도 있다.
기판 페데스탈 모듈 (106) 의 상부 표면은 진공 챔버 (102) 내에서 프로세싱 동안 반도체 기판 (108) 을 지지한다. 기판 페데스탈 모듈 (106) 은 증착 및/또는 플라즈마 처리 프로세스들 전, 동안 그리고/또는 후에 반도체 기판을 상승 및 하강시키도록 반도체 기판 및/또는 리프트 핀들을 홀딩하기 위한 척을 포함할 수 있다. 대안적인 실시예에서, 기판 페데스탈 모듈 (106) 은 증착 및/또는 플라즈마 처리 프로세스들 전, 동안 그리고/또는 후에 반도체 기판을 상승 및 하강시키기 위한 캐리어 링을 포함할 수 있다. 척은 산업계 및/또는 연구에 사용하는데 이용가능하기 때문에 정전 척, 기계적 척, 또는 다양한 다른 타입들의 척일 수도 있다. 정전 척을 포함하는 기판 페데스탈 모듈을 위한 리프트 핀 어셈블리의 상세들은 전체가 참조로서 본 명세서에 인용된, 공동으로 양도된 미국 특허 제 8,840,754 호에서 찾을 수 있다. 기판 페데스탈 모듈을 위한 캐리어 링의 상세들은 전체가 참조로서 본 명세서에 인용된, 공동으로 양도된 미국 특허 제 6,860,965 호에서 찾을 수 있다. 후면 가스 공급부 (116) 는 프로세싱 동안 반도체 기판의 하부 표면 아래 영역으로 기판 페데스탈 모듈 (106) 을 통해 열 전달 가스 또는 퍼지 가스를 공급하도록 동작가능하다. 기판 페데스탈 모듈 (106) 은 내부에 하부 RF 전극을 포함하고, 하부 RF 전극은 프로세싱 동안 접지되는 것이 바람직하지만, 하부 RF 전극은 프로세싱 동안 RF 에너지를 공급받을 수도 있다.
반도체 기판 플라즈마 프로세싱 장치 (100) 의 진공 챔버 (102) 내에서 반도체 기판을 프로세싱하기 위해, 프로세스 가스들은 프로세스 가스 소스 (118) 로부터 유입부 (120) 및 샤워헤드 모듈 (104) 을 통해 진공 챔버 (102) 내로 도입되고, 프로세스 가스는 막이 반도체 기판의 상부 표면 상에 증착될 수도 있도록 RF 에너지를 사용하여 플라즈마로 형성된다. 일 실시예에서, 복수의 소스 가스 라인들 (122) 은 가열된 매니폴드 (124) 에 연결될 수도 있다. 가스들은 미리 혼합될 수도 있거나 챔버로 따로따로 공급될 수도 있다. 적절한 밸브 및 질량 유량 제어 메커니즘들이 반도체 기판 프로세싱 동안 올바른 가스들이 샤워헤드 모듈 (104) 을 통해 전달된다는 것을 보장하도록 채용된다. 프로세싱 동안, 후면 열 전달 가스 또는 퍼지 가스가 기판 페데스탈 모듈 (102) 상에 지지된 반도체 기판의 하부 표면 아래 영역으로 공급된다. 바람직하게, 프로세싱은 CVD 프로세싱, PECVD 프로세싱, ALD 프로세싱, PEALD 프로세싱, PDL (pulsed deposition layer) 프로세싱, 또는 PEPDL 프로세싱 중 적어도 하나이다.
특정한 실시예들에서, 시스템 제어기 (126) 는 증착 동안 증착 처리들 그리고/또는 다른 프로세스 동작들 후에 프로세스 조건들을 제어하도록 채용된다. 제어기 (126) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
특정한 실시예들에서, 제어기 (126) 는 장치의 모든 액티비티들을 제어한다. 시스템 제어기 (126) 는 프로세싱 동작들의 타이밍, 저주파수 RF 생성기 (112) 및 고주파수 RF 생성기 (110) 의 동작들의 주파수 및 전력, 전구체들 및 불활성 가스들 및 이들의 상대적인 혼합의 플로우 레이트들 및 온도들, 기판 페데스탈 모듈 (106) 의 상부 표면 상에 지지된 반도체 기판 (108) 및 샤워헤드 모듈 (104) 의 플라즈마 노출된 표면의 온도, 진공 챔버 (102) 의 압력, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 시스템 제어 소프트웨어를 실행한다. 제어기와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 일부 실시예들에 채용될 수도 있다.
하나 이상의 금속화된 세라믹 튜브들 (AlN, Al2O3 , Si3N4, ZrO2, SiC 또는 다른 세라믹 재료들이 적절할 수도 있음) 이 RF 또는 히터 (AC) 전력의 전기적 접속부 둘다로서 그리고 웨이퍼 척 캐비티 내로 후면 가스들을 공급하도록 역할을 하는, 개별 반도체 웨이퍼들의 순차적인 프로세싱을 위한 세라믹 페데스탈이 본 명세서에 기재된다. RF 전력 & 히터 (AC) 전력 접속부들은 페데스탈을 기계적으로 지지하고 프로세스 챔버로부터 전기적 접속부들을 절연하는, 관형 스템을 통해 페데스탈에 접속된 고체 금속성 재료들인 막대들인 것이 현재 관행이다. 예를 들어, 전체가 참조로서 본 명세서에 인용되고, 공동으로 양도된 미국 특허 공개 제 2016/0340781 호; 제 2016/0336213 호; 및 제 2016/0333475 호를 참조하라. 샤프트 내 공간 제약들이 가스 라인의 부가를 방지하기 때문에, 현재 기술은 후면 가스 옵션을 사용하지 않고, RF & 히터 (AC) 전력에 대해 고체 Ni 막대들을 사용한다. 즉, 스템 영역 내 공간은 웨이퍼 냉각/에지 퍼지 가스들로 후면 가스 전달과 같은 새로운 목적들을 위한 부가적인 피처들 (막대들/튜브들) 을 피팅 (fit) 하기 어렵도록 제약된다. 따라서, 두 목적들을 위해 일 부분을 사용하는 것은 새로운 기능성으로 하여금 형성 인자로의 최소 변화를 페데스탈에 부가하게 한다.
일 실시예에 따라, 금속화된 세라믹 튜브는 (a) RF 또는 AC 전력전달 및 (B) 챔버 내로 후면 가스들을 운반하는 이중 2 개의 목적들을 위해 기능한다. 튜브의 바람직한 실시예는 페데스탈 자체의 CTE와 대략 매칭하는 세라믹 재료이고, 유사한 조성의 재료를 선택 (예를 들어, AlN 페데스탈에 대해, AlN 튜브를 선택; SiC 페데스탈에 대해, SiC 튜브를 선택) 함으로써, 또는 CTE의 체적 평균으로 하여금 페데스탈 재료와 거의 매칭하게 하는 비율로, 페데스탈보다 큰 CTE를 갖는 적어도 하나의 상 및 페데스탈보다 작은 CTE를 갖는 적어도 하나의 상을 포함하는 재료들의 상 혼합물을 사용함으로써 달성될 수 있다. 대안적인 실시예는, 크리프 (creep) 온도가 부품의 서비스 온도보다 낮은 금속 또는 합금과 같은, 파괴 또는 다른 열화 없이 CTE 미스매칭을 수용하도록 형상을 변화시킬 수 있고, 또는 표면 장력이 동작 동안 시일링을 유지하고 액체의 마이그레이션을 방지하기 충분하도록, 납땜 시일링이 구성되는, 페데스탈과 튜브 사이에 응력 완화 접속부를 갖는 것이다.
바람직한 실시예는, 서비스 온도에서 저 전기 저항 및 산화에 내성을 갖는, 순수, 비자성 금속, 예컨대 금의 금속화이다. 대안적인 실시예들은 그렇지 않으면 상기 기준을 충족하는, 니켈 (Ni) 과 같은 강자성 금속들; 그래파이트 (C) 또는 티타늄 나이트라이드 (TiN) 와 같은 저 전기 전도도를 갖는 비금속 물질들; 은 (Ag) 과 같은 도전성 옥사이드들을 갖는 금속들; 알루미늄 브론즈 또는 분산-강화된 은과 같은, 저 전기 저항 합금들; 산화 내성 및 전기 전도 기능들이 TiN-코팅된 구리와 같은 재료의 상이한 층들에 의해 달성되는 다층 구조체들; 또는 예를 들어, 튜브의 내부 부분이 Cu로 금속화되고 시스템이 가스 플로우 없이 페데스탈 가열을 방지하도록 인터로킹된다면, 모든 금속화가 산소-프리 가스의 플로우에 의해 산화로부터 방지되는 구조체들을 포함한다.
가스들은 RF 또는 AC 전력 전달을 수행하기 위해 금속화된 세라믹 관형 홀들 및 금속화된 상단 표면을 통해 웨이퍼 캐비티의 후면으로 전달될 수 있다. 이 구조체는 또한 고체 금속 도전체들과 비교하여, 페데스탈의 핫 존 (hot zone) 으로부터 열 전도도를 감소시킬 수 있어서, 웨이퍼의 열적 균일도를 개선하고, 페데스탈에 인접한 컴포넌트들이 오버히팅되는 리스크를 감소시키고, 그리고 히터 전력 소비를 감소시킨다.
도 2는 전기적으로 도전성 그리드들과 같은 전기적으로 도전성 전극들 (305a, 305b) 및 내부에 임베딩된 외측 링 형상 전극 (미도시) 으로 전기적으로 접속된 피드 스트립 전극 (304a) 을 갖는 세라믹 플레튼 (302) 및 할로우 세라믹 지지 스템 (306) 을 포함하는 기판 지지 모듈 (300) 을 예시한다. 플레튼 (302) 및 스템 (306) 은 알루미늄 나이트라이드와 같은 세라믹 재료로 이루어지는 것이 바람직하고, 플레튼 (302) 의 하단 표면 (302a) 은 브레이징 (brazing), 마찰 용접, 확산 본딩 또는 다른 적합한 기법에 의해 스템 (306) 의 상부 단부 (306a) 에 결합된다 (joined). 중앙에 위치된 금속화된 세라믹 튜브 (308) 는 튜브 (308) 의 상부 단부 (308a) 를 갖는 스템 (306) 내부에 위치되고 임베딩된 피드 스트립 전극 (304a) 에 전기적으로 접속된다. 튜브 (308) 의 유출부 (310) 는 플레튼 (302) 의 지지 표면 (302b) 의 가스 통로 (312) 와 유체로 연통한다. 금속화된 세라믹 튜브 (308) 는 아르곤 (Ar) 또는 질소 (N2) 와 같은 불활성 가스 또는 헬륨 (He) 과 같은 열 전달 가스가 공급될 수 있고, 지지 표면 (302b) 상에 위치된 반도체 기판 (미도시) 의 하측으로 유출부 (322) 를 통해 가스 통로 (312) 로 전달된다. 튜브 (308) 의 외측 표면은 기밀 시일링 (320) 에 의해 플레튼 (302) 에 시일링될 수 있다. 스템 (306) 의 내부는 또한 저항 히터들 (미도시) 과 같은 다른 전극들로 전력을 전달하는 전기 피드 막대들 (314) 과 같은 다른 컴포넌트들 및 플레튼 (302) 내 정전 클램핑 전극들 (305a, 305b) 로 전력을 전달하는 부가적인 금속화된 세라믹 튜브들 (316) 을 하우징한다. 금속화된 세라믹 튜브들 (316) 은 또한 유출부들 (324, 326) 을 통해 플레튼 (302) 상에 지지된 웨이퍼 하측으로 가스를 전달할 수 있다.
기판 지지 모듈 (300) 상에 지지된 실리콘 웨이퍼 상의 막들의 증착과 같은, 반도체 기판의 프로세싱 동안 플레튼 (302) 은 약 20 ℃ 내지 500 ℃ 이상의 범위의 온도들 사이에서 사이클링할 수도 있다. 300 ㎜ 웨이퍼를 프로세싱하기 위해, 플레튼 (302) 은 최대 약 1 인치의 두께 및 약 15 인치의 직경을 가질 수 있고, 스템 (306) 은 약 3 인치의 직경 및 스템 (306) 의 하단부와 플레튼 (302) 의 상부 표면 사이의 거리는 약 5 인치일 수 있다. 금속화된 세라믹 튜브는 약 4 ㎜의 직경, 약 7 내지 8 인치의 길이를 가질 수 있고, 금속화된 코팅은 약 5 내지 50 마이크론, 바람직하게 약 30 마이크론의 두께를 가질 수 있다. 스템 (306) 내부에 전기적 피드들, 적어도 하나의 가스 피드 및 적어도 하나의 열전대와 같은 컴포넌트들을 수용한다. 이들 컴포넌트들은 수용하기 위해, 금속화된 세라믹 튜브 (308) 는 지지 표면으로 가스를 전달할 수 있고 임베딩된 전극으로 전력을 공급할 수 있어서, 별도의 가스 피드에 대한 필요성을 제거한다. 2 이상의 가스 피드들이 목표되면, 부가적인 금속화된 세라믹 튜브들이 임베딩된 전극들로 가스 및 전력을 공급하도록 사용될 수 있다. 이에 더하여, 팔라듐/로듐 (Pd/Rh) 코팅된 스테인리스 스틸 또는 니켈 (Ni) 막대들과 같은 고 열 전도도 금속 막대들 대신, 알루미늄 나이트라이드 튜브들과 같은 보다 저 열 전도도 세라믹 튜브들를 사용함으로써, 플레튼 (302) 으로부터의 열 전달을 감소시킬 수 있다.
도 3은 7 개의 전기 피드들을 볼 수 있는, 기판 지지 모듈 (300) 의 하단도를 도시한다. 이 실시예에서, 중앙 금속화된 세라믹 튜브 (308) 는 중앙 전극으로 전력을 공급할 수 있고, 4 개의 피드 막대들 (314) 은 내측 저항 히터 및 외측 저항 히터 (미도시) 와 같은 저항 히터들로 전력을 공급할 수 있고, 2 개의 금속화된 세라믹 튜브들 (316) 은 플레튼 (302) 내에 임베딩된 2 개의 정전 척킹 전극들 (미도시) 과 같은 2 개의 전극들로 전력을 공급할 수 있다. 금속화된 세라믹 튜브들 (316) 은 또한 플레튼 (302) 상에 지지된 기판의 하측으로 가스를 전달할 수 있고 그리고/또는 정전 척킹 전극들로 RF 에너지를 공급할 수 있다.
도 4는 단일 금속화된 세라믹 튜브 (308) 가 플레튼 (302) 의 중앙에 위치되고, 니켈 (Ni) 막대들과 같은 4 개의 단단한 피드 막대들 (314) 이 스템 (306) 의 내측 표면의 내측 위치들 주변에 이격되고, 2 개의 금속화된 세라믹 튜브들 (316) 이 정전 클램핑 전극들 (305a, 305b) 에 전기적으로 접속되는 기판 지지 모듈 (300) 의 절단도면이다. 단단한 피드 막대들 (314) 은 피드 스트립 (304a) 에 의해 금속화된 세라믹 튜브 (308) 에 연결된 외측 링 형상 전극 (304) (도 9 참조) 아래 위치에서 플레튼 (302) 내에 임베딩된 저항 히터들 (318a, 318b) (도 8 참조) 로 전력을 공급할 수 있다. 금속화된 세라믹 튜브들 (308, 316) 과 전극들 (304, 305a, 305b) 사이 그리고 피드 막대들 (314) 과 히터들 (318a, 318b) 사이의 전기적 접속부들은, 기재가 본 명세서에 참조로서 인용된, 공동으로 양도된 미국 특허 제 9,088,085 호에 기재된 바와 같은 단단한 단말들/스터드들/소켓들을 포함할 수 있다. 기판 지지 모듈 (300) 의 제작 동안, 금속화된 세라믹 튜브들 (308, 316) 은 적합한 소결 및/또는 브레이징 기법들을 통해 플레튼 (302) 및 전극들 (304, 305a, 305b) 에 본딩될 수 있다.
도 5는 스템 (306) 의 부착 전에 플레튼 (302) 의 상면 사시도이다. 그러나, 스템 (306) 은 바람직하게 피드 막대들 (314) 및 세라믹 튜브(들) (308/316) 의 부착 전에 고온 브레이징 또는 확산 본딩에 의한 플레튼 (302) 에 본딩된다. 도시된 바와 같이, 피드 막대들 (314) 은 플레튼의 하부 표면으로부터 연장하고, 바람직하게 피드 막대들 (314) 및 금속화된 세라믹 튜브(들) (308/316) 는 스템 (306) 의 길이보다 긴 거리로 연장한다. 예를 들어, 피드 막대들 (314) 및 금속화된 세라믹 튜브(들) (308/316) 는 플레튼 (302) 의 하부 표면 (302a) 으로부터 적어도 약 7 내지 8 인치, 예를 들어, 약 7.25 인치의 거리로 연장할 수 있다.
도 6은 기판 지지 모듈 (300) 의 하단 사시도를 도시한다. 도시된 바와 같이, 금속화된 세라믹 튜브 (308), 피드 막대들 (314) 및 금속화된 세라믹 튜브들 (316) 은 스템 (306) 의 하단부로부터 외측으로 연장한다.
도 7은 기판 지지 모듈 (300) 의 단면도이다. 도시된 바와 같이, 이 실시예에서, 플레튼 (302) 은 금속화된 세라믹 튜브 (308) 에 전기적으로 접속된 중앙 피드 스트립 전극 (304a) 을 포함하고, 정전 전극들 (305a, 305b) 로의 접속부들은 보이지 않는다.
도 8은 기판 지지 모듈 (300) 의 단면도이다. 도시된 바와 같이, 금속화된 세라믹 튜브 (308) 는 피드 스트립 전극 (304a) 에 전기적으로 접속되고 2 개의 피드 막대들 (314) 은 전극 (304) 아래 위치에서 플레튼 (302) 내에 임베딩된 하나 이상의 저항 히터들 (318a, 318b) 에 전기적으로 접속된다. 예를 들어, 한 쌍의 피드 막대들 (314) 이 내측 히터에 접속될 수 있고, 또 다른 쌍의 피드 막대들 (314) 이 외측 히터에 접속될 수 있다. 목표된다면, 단일 히터 또는 3 이상의 히터들이 임의의 목표된 기하학적 구성으로 플레튼 (302) 내에 임베딩될 수 있다.
도 9는 플레튼 (302) 은 3 개의 코플래너 전극들 및 전극들로 전력을 공급하는 3 개의 금속화된 세라믹 튜브들을 포함하는, 실시예에 따른 기판 지지부의 상면도이다. 이 실시예에서, 플레튼 (302) 은 제 1 코플래너 전극, 제 2 코플래너 전극 및 제 3 코플래너 전극 (304/305a/305b) 을 포함하고, 제 1 전극은 제 1 금속화된 세라믹 튜브 (308) 에 전기적으로 접속된 대각선으로 연장하는 피드 스트립 (304a) 을 갖는 외측 링 형상 전극 (304) 이고 (도 2 참조) 제 2 전극 및 제 3 전극은 제 2 금속화된 세라믹 튜브 및 제 3 금속화된 세라믹 튜브 (316a/316b) 에 전기적으로 접속된 내측 D-형상 전극들 (305a/305b) 이다 (도 3 참조). 제 1 금속화된 세라믹 튜브 (308) 는 대각선으로 연장하는 피드 스트립 (304a) 및 플레튼 (302) 의 상부 표면 (302b) 의 중앙의 제 1 유출부 (322) 를 통해 연장하는 제 1 가스 통로 (312) 와 유체로 연통한다. 제 2 금속화된 세라믹 튜브 (316a) 는 제 2 전극 (305a) 및 제 1 유출부 (322) 로부터 제 1 거리에서 플레튼 (302) 의 상부 표면의 제 2 유출부 (324) 를 통해 연장하는 제 2 가스 통로와 유체로 연통한다. 제 3 금속화된 세라믹 튜브 (316b) 는 제 3 전극 (305b) 및 제 1 유출부 (322) 로부터 제 2 거리에서 플레튼 (302) 의 상부 표면의 제 3 유출부 (326) 를 통해 연장하는 제 3 가스 통로와 유체로 연통한다. 제 1 거리 및 제 2 거리는 바람직하게 약 1 인치 이하이고, 보다 바람직하게, 제 2 유출부 및 제 3 유출부 (324/326) 는 제 1 유출부 (322) 로부터 약 0.75 인치 이격된다. 플레튼 (302) 은 또한 리프트 핀들이 이를 통해 플레튼 (302) 상으로 웨이퍼를 상승 및 하강시킬 수 있는 3 개의 리프트 핀 홀들 (328) 을 포함한다.
금속화된 세라믹 튜브들 (308/316) 및 피드 막대들 (314) 은 RF (radio-frequency), DC (direct current) 및/또는 AC (alternating current) 를 플레튼 (302) 내에 임베딩된 전극들로 공급하도록 사용될 수 있다. 부가적으로, 열전대 또는 다른 센서가 또한 플레튼 (302) 내에 임베딩된 전극으로 전력을 공급하는, 금속화된 세라믹 튜브 내에 하우징될 수 있다. 플레튼 (302) 은 바람직하게, 알루미늄 옥사이드 (알루미나), 이트리아, 알루미늄 나이트라이드, 붕소 나이트라이드, 실리콘 옥사이드, 실리콘 카바이드, 실리콘 나이트라이드, 티타늄 옥사이드, 지르코늄 옥사이드, 또는 다른 적합한 재료 또는 재료들의 조합과 같은 소결된 세라믹 재료의 통합된 바디이다. 전극 각각은 플래너 (planar) 또는 비플래너 구성을 가질 수 있고, 바람직하게 전기 도전성 금속 재료 (예를 들어, 텅스텐, 몰리브덴, 탄탈룸, 니오브, 코발트) 또는 전기 도전성 비금속 재료 (예를 들어, 알루미늄 옥사이드-탄탈룸 카바이드, 알루미늄 옥사이드-실리콘 카바이드, 알루미늄 나이트라이드-텅스텐, 알루미늄 나이트라이드-탄탈룸, 이트륨 옥사이드-몰리브덴) 으로 이루어진다. 전극들은 페데스탈의 세라믹 재료와 함께 공소성된 (co-fired) 파우더 재료들로부터 형성될 수 있다. 예를 들어, 전극들은 페데스탈의 바디를 형성하는 세라믹 재료의 층들과 공소성되는 도전성 페이스트로 형성될 수 있다. 예를 들어, 페이스트는 니켈 (Ni), 텅스텐 (W), 몰리브덴 (Mo), 티타늄 (Ti), 망간 (Mn), 구리 (Cu), 은 (Ag), 팔라듐 (Pd), 백금 (Pt), 로듐 (Rh), 의 도전성 금속 파우더를 포함할 수 있다. 대안적으로, 전극들은 목표된 전극 패턴을 갖는 증착된 재료 및 목표된 전극 패턴을 형성하도록 에칭되는 증착된 막으로 형성될 수 있다. 여전히, 전극들은 미리 형성된 그리드들, 플레이트들, 와이어 메시, 또는 다른 적합한 전극 재료 및/또는 구성을 포함할 수 있다. 일 실시예에서, 전극들은 DC 척킹 전압 (예를 들어, 약 200 내지 약 2000 V) 을 제공하기 위한 DC 전력 소스에 의해 전력 공급되는 적어도 하나의 정전 클램핑 전극, RF 바이어스 전압을 제공하기 위한 RF 전력 소스 (예를 들어, 약 50 내지 약 3000 W에서 약 400 ㎑ 내지 약 60 ㎒의 하나 이상의 주파수들) 에 의해 전력 공급된 적어도 하나의 RF 전극 및/또는 적합한 회로를 통해 DC 및 RF 전력 소스들에 의해 전력 공급된 적어도 하나의 전극을 포함한다. 금속화된 세라믹 튜브는 내측 표면, 외측 표면 또는 내측 표면 외측 표면 상에 도전성 금속화된 코팅을 가질 수 있다. 임베딩된 전극에 도전성 금속화된 코팅을 연결하기 위해, 도전성 금속화된 코팅이 전극 또는 페데스탈의 하측에 위치된 전극의 단말에 직접적으로 기계적으로 또는 야금술로 결합될 수 있다. 예를 들어, 도전성 금속화된 코팅은 임베딩된 전극에 부착된 단말에 브레이징될 수 있다.
반도체 기판 프로세싱 장치의 기판 페데스탈 모듈이 구체적인 실시예들을 참조하여 상세히 기술되지만, 첨부된 청구항들의 범위로부터 벗어나지 않고, 다양한 변화들 및 수정들이 이루어질 수 있고, 등가물들이 채용될 수 있다는 것이 당업자에게 자명할 것이다.

Claims (11)

  1. 반도체 기판이 프로세싱될 수도 있는 프로세싱 존을 포함하는 진공 챔버에서 반도체 기판들을 프로세싱하는 데 유용한 모듈에 있어서,
    세라믹 바디;
    상기 세라믹 바디에 본딩된 플랜지를 갖는 세라믹 재료로 이루어진 스템; 및
    상기 세라믹 바디에 가스를 공급하고 상기 세라믹 바디 내에 임베딩된 전극에 전력을 공급하도록 구성된 적어도 하나의 금속화된 세라믹 튜브를 포함하는, 모듈.
  2. 제 1 항에 있어서,
    상기 전극은 정전 클램핑 전극인, 모듈.
  3. 제 1 항에 있어서,
    상기 전극은 RF (radio frequency) 전극인, 모듈.
  4. 제 1 항에 있어서,
    상기 세라믹 바디는 내부에 임베딩된 하나 이상의 저항 히터들을 포함하고, 그리고 상기 히터들은 금속화된 세라믹 피드 막대들 (rods) 또는 금속 피드 막대들에 전기적으로 접속되는, 모듈.
  5. 제 1 항에 있어서,
    상기 세라믹 바디의 온도를 측정하도록 구성된 열전대 (thermocouple) 를 더 포함하고, 상기 열전대는 상기 세라믹 바디에 부착된 세라믹 튜브 내부에 위치되는, 모듈.
  6. 제 1 항에 있어서,
    상기 금속화된 세라믹 튜브, 상기 세라믹 바디, 및 상기 스템은 알루미늄 나이트라이드로 형성되는, 모듈.
  7. 제 1 항에 있어서,
    상기 금속화된 세라믹 튜브는 상기 스템의 내부에서 중앙에 위치되는, 모듈.
  8. 제 1 항에 있어서,
    상기 세라믹 바디는 내부에 임베딩된 외측 전극 및 내부에 임베딩된 내측 전극을 포함하고, 상기 내측 전극은 상기 외측 전극과 코플래너 (coplanar) 이고, 상기 외측 전극은 상기 스템 내부의 금속화된 세라믹 전력 피드 막대에 전기적으로 접속되고, 상기 내측 전극들 각각은 상기 스템 내부의 한 쌍의 금속화된 세라믹 피드 막대들에 전기적으로 접속되는, 모듈.
  9. 제 1 항에 있어서,
    상기 금속화된 세라믹 튜브는 응력 완화 접속부에 의해 상기 전극에 접속되고, 상기 응력 완화 접속부는 상기 금속화된 세라믹 튜브와 상기 전극 사이의 차동 열 팽창을 수용하기 위해 형상을 변화시키도록 구성되는, 모듈.
  10. 제 1 항에 있어서,
    상기 금속화된 세라믹 튜브는 상기 스템의 길이보다 더 긴 길이를 갖고, 그리고 상기 금속화된 세라믹 튜브는 외측 표면에만 전기적으로 도전성 코팅을 포함하는, 모듈.
  11. 제 1 항에 있어서,
    상기 적어도 하나의 금속화된 세라믹 튜브는 제 1 금속화된 세라믹 튜브, 제 2 금속화된 세라믹 튜브 및 제 3 금속화된 세라믹 튜브를 포함하고, 상기 세라믹 바디는 제 1 코플래너 전극, 제 2 코플래너 전극 및 제 3 코플래너 전극을 포함하고, 상기 제 1 전극은 상기 제 1 금속화된 세라믹 튜브에 전기적으로 접속하는 대각선으로 연장하는 피드 스트립을 갖는 외측 링 형상 전극이고, 그리고 상기 제 2 전극 및 상기 제 3 전극은 상기 제 2 금속화된 세라믹 튜브 및 상기 제 3 금속화된 세라믹 튜브에 전기적으로 접속된 내측 D-형상 전극들인, 모듈.
KR1020230192926A 2017-05-30 2023-12-27 Rf 및 가스 전달을 위한 금속화된 세라믹 튜브들을 포함하는 기판 페데스탈 모듈 KR20240006480A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/608,135 US10147610B1 (en) 2017-05-30 2017-05-30 Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
US15/608,135 2017-05-30
KR1020180060043A KR102620122B1 (ko) 2017-05-30 2018-05-28 Rf 및 가스 전달을 위한 금속화된 세라믹 튜브들을 포함하는 기판 페데스탈 모듈

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020180060043A Division KR102620122B1 (ko) 2017-05-30 2018-05-28 Rf 및 가스 전달을 위한 금속화된 세라믹 튜브들을 포함하는 기판 페데스탈 모듈

Publications (1)

Publication Number Publication Date
KR20240006480A true KR20240006480A (ko) 2024-01-15

Family

ID=64452019

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020180060043A KR102620122B1 (ko) 2017-05-30 2018-05-28 Rf 및 가스 전달을 위한 금속화된 세라믹 튜브들을 포함하는 기판 페데스탈 모듈
KR1020230192926A KR20240006480A (ko) 2017-05-30 2023-12-27 Rf 및 가스 전달을 위한 금속화된 세라믹 튜브들을 포함하는 기판 페데스탈 모듈

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020180060043A KR102620122B1 (ko) 2017-05-30 2018-05-28 Rf 및 가스 전달을 위한 금속화된 세라믹 튜브들을 포함하는 기판 페데스탈 모듈

Country Status (4)

Country Link
US (3) US10147610B1 (ko)
JP (2) JP7335060B2 (ko)
KR (2) KR102620122B1 (ko)
CN (2) CN108987229B (ko)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9738975B2 (en) 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10147610B1 (en) 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
US11289355B2 (en) * 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11018048B2 (en) * 2017-11-21 2021-05-25 Watlow Electric Manufacturing Company Ceramic pedestal having atomic protective layer
CN111670491A (zh) 2018-01-31 2020-09-15 朗姆研究公司 静电卡盘(esc)基座电压隔离
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US20220199379A1 (en) * 2019-04-26 2022-06-23 Lam Research Corporation High temperature heating of a substrate in a processing chamber
CN114245936A (zh) * 2019-08-08 2022-03-25 日本碍子株式会社 半导体制造装置用构件
WO2021041002A1 (en) * 2019-08-26 2021-03-04 Applied Materials, Inc. Semiconductor processing apparatus with improved uniformity
JP7237776B2 (ja) * 2019-08-27 2023-03-13 京セラ株式会社 ウェハ用部材及びウェハ用装置
JP7447154B2 (ja) * 2020-01-31 2024-03-11 京セラ株式会社 セラミック構造体の製造方法
US20230134061A1 (en) * 2020-03-19 2023-05-04 Lam Research Corporation Showerhead purge collar
CN111607785A (zh) * 2020-05-26 2020-09-01 北京北方华创微电子装备有限公司 一种加热装置及半导体加工设备
US20220127723A1 (en) * 2020-10-23 2022-04-28 Applied Materials, Inc. High heat loss heater and electrostatic chuck for semiconductor processing
JP7209900B1 (ja) * 2020-12-31 2023-01-20 ミコ セラミックス リミテッド セラミックサセプター
US20240112894A1 (en) * 2022-09-30 2024-04-04 Applied Materials, Inc. Fluid conduit and flange for high bias applications

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0628644B1 (en) 1993-05-27 2003-04-02 Applied Materials, Inc. Improvements in or relating to susceptors suitable for use in chemical vapour deposition devices
US6544379B2 (en) 1993-09-16 2003-04-08 Hitachi, Ltd. Method of holding substrate and substrate holding system
US5476548A (en) 1994-06-20 1995-12-19 Applied Materials, Inc. Reducing backside deposition in a substrate processing apparatus through the use of a shadow ring
US5671116A (en) 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
US5968379A (en) * 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US5810933A (en) 1996-02-16 1998-09-22 Novellus Systems, Inc. Wafer cooling device
TW334609B (en) * 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
US6082297A (en) 1997-09-12 2000-07-04 Novellus Sytems, Inc. Encapsulated thermofoil heater apparatus and associated methods
US6063202A (en) 1997-09-26 2000-05-16 Novellus Systems, Inc. Apparatus for backside and edge exclusion of polymer film during chemical vapor deposition
US6126382A (en) 1997-11-26 2000-10-03 Novellus Systems, Inc. Apparatus for aligning substrate to chuck in processing chamber
US6103074A (en) * 1998-02-14 2000-08-15 Phygen, Inc. Cathode arc vapor deposition method and apparatus
US6086246A (en) 1998-05-26 2000-07-11 Novellus Systems, Inc. Two-element plasma resistant lightpipe assembly
US6423949B1 (en) 1999-05-19 2002-07-23 Applied Materials, Inc. Multi-zone resistive heater
US6500299B1 (en) * 1999-07-22 2002-12-31 Applied Materials Inc. Chamber having improved gas feed-through and method
US6561796B1 (en) 1999-09-07 2003-05-13 Novellus Systems, Inc. Method of semiconductor wafer heating to prevent bowing
US6494955B1 (en) * 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
JP4398064B2 (ja) 2000-05-12 2010-01-13 日本発條株式会社 加熱装置
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
US6468384B1 (en) 2000-11-09 2002-10-22 Novellus Systems, Inc. Predictive wafer temperature control system and method
US6730175B2 (en) * 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US6646233B2 (en) 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
JP4060684B2 (ja) * 2002-10-29 2008-03-12 日本発条株式会社 ステージ
JP2005018992A (ja) * 2003-06-23 2005-01-20 Ibiden Co Ltd プラズマ発生装置用電極埋設部材
US7154731B1 (en) 2003-07-18 2006-12-26 Novellus Systems, Inc. Reflective coating for electrostatic chucks
WO2005093806A1 (ja) 2004-03-26 2005-10-06 Hitachi Kokusai Electric Inc. 半導体製造装置および半導体装置の製造方法
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
JP4365766B2 (ja) 2004-10-26 2009-11-18 京セラ株式会社 ウェハ支持部材とそれを用いた半導体製造装置
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US20060281310A1 (en) 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
JP2007051317A (ja) 2005-08-16 2007-03-01 Ngk Insulators Ltd 加熱装置
JP2007258585A (ja) 2006-03-24 2007-10-04 Tokyo Electron Ltd 基板載置機構および基板処理装置
KR20080091072A (ko) * 2006-05-24 2008-10-09 에스이아이 하이브리드 가부시키가이샤 웨이퍼 유지체와 그 제조 방법 및 반도체 제조 장치
US7848075B2 (en) 2006-07-19 2010-12-07 Ngk Insulators, Ltd. Electrostatic chuck with heater
JP5183058B2 (ja) * 2006-07-20 2013-04-17 アプライド マテリアルズ インコーポレイテッド 急速温度勾配コントロールによる基板処理
US20080062609A1 (en) 2006-08-10 2008-03-13 Shinji Himori Electrostatic chuck device
US7667944B2 (en) 2007-06-29 2010-02-23 Praxair Technology, Inc. Polyceramic e-chuck
JP5660753B2 (ja) * 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
JP2009054871A (ja) * 2007-08-28 2009-03-12 Tokyo Electron Ltd 載置台構造及び処理装置
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
JP5014080B2 (ja) 2007-11-19 2012-08-29 コバレントマテリアル株式会社 面状ヒータ
KR101404010B1 (ko) * 2008-03-06 2014-06-12 주성엔지니어링(주) 기판 가장자리 식각장치 및 이를 이용한 기판 가장자리식각방법
US7558045B1 (en) 2008-03-20 2009-07-07 Novellus Systems, Inc. Electrostatic chuck assembly with capacitive sense feature, and related operating method
US8206552B2 (en) 2008-06-25 2012-06-26 Applied Materials, Inc. RF power delivery system in a semiconductor apparatus
US9064911B2 (en) 2008-10-24 2015-06-23 Applied Materials, Inc. Heated cooling plate for E-chucks and pedestals
US20100243609A1 (en) 2009-03-30 2010-09-30 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
FR2945876B1 (fr) 2009-05-19 2012-03-23 St Microelectronics Sa Dispositif de regulation d'un generateur a pompe de charges et procede de regulation correspondant
US8753447B2 (en) 2009-06-10 2014-06-17 Novellus Systems, Inc. Heat shield for heater in semiconductor processing apparatus
JP5349232B2 (ja) * 2009-09-17 2013-11-20 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
JP5513104B2 (ja) * 2009-12-28 2014-06-04 東京エレクトロン株式会社 プラズマ処理装置
US8597448B2 (en) 2009-12-29 2013-12-03 Novellus Systems, Inc. Electrostatic chucks and methods for refurbishing same
JP5218865B2 (ja) 2010-03-26 2013-06-26 Toto株式会社 静電チャック
US20120103970A1 (en) 2010-05-13 2012-05-03 Applied Materials, Inc. Heater with independent center zone control
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
JP5896595B2 (ja) 2010-10-20 2016-03-30 ラム リサーチ コーポレーションLam Research Corporation 2層rf構造のウエハ保持体
US20120164834A1 (en) * 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9337067B2 (en) 2011-05-13 2016-05-10 Novellus Systems, Inc. High temperature electrostatic chuck with radial thermal chokes
US8618446B2 (en) 2011-06-30 2013-12-31 Applied Materials, Inc. Substrate support with substrate heater and symmetric RF return
US9117867B2 (en) * 2011-07-01 2015-08-25 Applied Materials, Inc. Electrostatic chuck assembly
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9984866B2 (en) 2012-06-12 2018-05-29 Component Re-Engineering Company, Inc. Multiple zone heater
US9490150B2 (en) * 2012-07-03 2016-11-08 Applied Materials, Inc. Substrate support for substrate backside contamination control
US9088085B2 (en) 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
CN110610894B (zh) * 2012-11-27 2023-08-04 盛美半导体设备(上海)股份有限公司 使用基板支撑装置清洗基板背面的方法
WO2014098224A1 (ja) 2012-12-21 2014-06-26 京セラ株式会社 試料保持具
KR102042083B1 (ko) 2013-03-15 2019-11-27 어플라이드 머티어리얼스, 인코포레이티드 정전 척의 수리 및 복원을 위한 방법 및 장치
US8841574B1 (en) * 2013-11-18 2014-09-23 Georges J. Gorin Plasma extension and concentration apparatus and method
KR102376429B1 (ko) * 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
US20150194326A1 (en) * 2014-01-07 2015-07-09 Applied Materials, Inc. Pecvd ceramic heater with wide range of operating temperatures
WO2015146563A1 (ja) 2014-03-27 2015-10-01 日本碍子株式会社 セラミックスプレートと金属製の円筒部材との接合構造
US9428836B2 (en) 2014-04-29 2016-08-30 Lam Research Corporation Electroless deposition of continuous cobalt layer using complexed Ti3+ metal ions as reducing agents
KR20190010748A (ko) 2014-06-23 2019-01-30 니혼도꾸슈도교 가부시키가이샤 정전 척
TWI654332B (zh) 2014-07-02 2019-03-21 美商應用材料股份有限公司 用於電漿處理的多區域基座
WO2016094404A1 (en) 2014-12-11 2016-06-16 Applied Materials, Inc. Electrostatic chuck for high temperature rf applications
US20160225652A1 (en) * 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9859088B2 (en) 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
US10177024B2 (en) * 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US9738975B2 (en) * 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10253412B2 (en) 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US9428833B1 (en) 2015-05-29 2016-08-30 Lam Research Corporation Method and apparatus for backside deposition reduction by control of wafer support to achieve edge seal
US10950477B2 (en) 2015-08-07 2021-03-16 Applied Materials, Inc. Ceramic heater and esc with enhanced wafer edge performance
US10541117B2 (en) 2015-10-29 2020-01-21 Lam Research Corporation Systems and methods for tilting a wafer for achieving deposition uniformity
JP6614933B2 (ja) 2015-11-11 2019-12-04 東京エレクトロン株式会社 基板載置機構および基板処理装置
US9824941B2 (en) 2015-11-17 2017-11-21 Lam Research Corporation Systems and methods for detection of plasma instability by electrical measurement
US10147610B1 (en) * 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery

Also Published As

Publication number Publication date
KR20180131423A (ko) 2018-12-10
CN116153754A (zh) 2023-05-23
US20180350610A1 (en) 2018-12-06
KR102620122B1 (ko) 2023-12-29
US20200051822A1 (en) 2020-02-13
CN108987229A (zh) 2018-12-11
JP2023154070A (ja) 2023-10-18
US10147610B1 (en) 2018-12-04
CN108987229B (zh) 2023-02-03
JP2018204104A (ja) 2018-12-27
US10964545B2 (en) 2021-03-30
JP7335060B2 (ja) 2023-08-29
US10431467B2 (en) 2019-10-01
US20190109008A1 (en) 2019-04-11

Similar Documents

Publication Publication Date Title
KR102620122B1 (ko) Rf 및 가스 전달을 위한 금속화된 세라믹 튜브들을 포함하는 기판 페데스탈 모듈
JP7271443B2 (ja) 半導体処理で使用するための静電チャック
JP6836663B2 (ja) 発熱部材
TWI803010B (zh) 半導體基板支撐件電力傳輸組件
JP2001176646A (ja) セラミックスヒータ
TW202212625A (zh) 基板處理設備

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal