CN108695230A - 具有二极管隔离的堆栈式纳米片场效应晶体管 - Google Patents

具有二极管隔离的堆栈式纳米片场效应晶体管 Download PDF

Info

Publication number
CN108695230A
CN108695230A CN201810288229.8A CN201810288229A CN108695230A CN 108695230 A CN108695230 A CN 108695230A CN 201810288229 A CN201810288229 A CN 201810288229A CN 108695230 A CN108695230 A CN 108695230A
Authority
CN
China
Prior art keywords
semiconductor layer
layer
semiconductor
junction
isolated area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810288229.8A
Other languages
English (en)
Other versions
CN108695230B (zh
Inventor
臧辉
李在坤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of CN108695230A publication Critical patent/CN108695230A/zh
Application granted granted Critical
Publication of CN108695230B publication Critical patent/CN108695230B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0646PN junctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本发明揭露具有二极管隔离的堆栈式纳米片场效应晶体管,涉及场效应晶体管的结构及涉及场效应晶体管的结构的形成方法。提供具有第一导电类型的衬底。在该衬底上形成具有第二导电类型的第一半导体层。在该第一半导体层上形成具有第一导电类型的第二半导体层。形成场效应晶体管,其包括在该第二半导体层上的垂直堆栈中配置有多个纳米片通道层的鳍片、以及绕着该等纳米片通道层环绕的栅极结构。该第一半导体层与该衬底的一部分界定第一p‑n接面,并且该第二半导体层与该第一半导体层界定第二p‑n接面。该第一p‑n接面及该第二p‑n接面配置成与该栅极结构及该等纳米片通道层垂直对准。

Description

具有二极管隔离的堆栈式纳米片场效应晶体管
技术领域
本发明关于半导体装置制作及集成电路,并且更具体来说,关于涉及场效应晶体管的结构、以及涉及场效应晶体管的结构的形成方法。
背景技术
用于场效应晶体管的装置结构包括源极、漏极、位于该源极与漏极之间的通道、以与栅极结构,该栅极结构包括栅极电极、以及将该栅极电极与该通道分开的栅极介电质。施加至该栅极电极的栅极电压用于提供切换(switching),透过该通道将该源极与漏极彼此选择性连接。平面型场效应晶体管的通道位于衬底(substrate)的顶端表面下方,其上支撑该栅极结构。
鳍式场效应晶体管(FinFET)是一种非平面型装置结构,可比平面型场效应晶体管更密集地受堆积于集成电路中。FinFET可包括鳍片,其由半导体材料的本体、形成于该本体的诸区段中的重度掺杂源极/漏极区、以及绕着位于该鳍片本体中介于该源极/漏极区之间的通道环绕的栅极电极所组成。介于该等栅极结构与鳍片本体之间的配置改良对该通道的控制,并且与平面型晶体管作比较,降低该FinFET处于其“断开(Off)”状态时的漏电流。这进而能够比在平面型晶体管中使用更低的阈值电压,并且导致效能改善且功率消耗降低。
堆栈式纳米线(nanowire)或纳米片(nanosheet)场效应晶体管已开发为可允许另外提升堆积密度的FinFET类型。堆栈式纳米片场效应晶体管可在纳米片通道区上形成有栅极堆栈的衬底上包括配置成三维阵列的多个纳米片。该栅极堆栈可将环绕式栅极配置中各纳米片的通道区的所有侧边围绕。
发明内容
在本发明的具体实施例中,一种方法包括提供具有第一导电类型的衬底、在该衬底上形成具有第二导电类型的第一半导体层、以及在该第一半导体层上形成具有该第一导电类型的第二半导体层。本方法更包括形成场效应晶体管的鳍片,其包括在该第二半导体层上配置成垂直堆栈的多个纳米片通道层,本方法还包括形成绕着该等纳米片通道层环绕的栅极结构。该第一半导体层与该衬底的一部分界定第一p-n接面(junction),并且该第二半导体层与该第一半导体层界定第二p-n接面。该第一p-n接面及该第二p-n接面配置成与该栅极结构及该等纳米片通道层垂直对准。
在本发明的具体实施例中,一种结构包括衬底、位在该衬底上的第一半导体层、以及位在该第一半导体层上的第二半导体层。该衬底及该第二半导体层上具有第一导电类型,并且该第一半导体层具有第二导电类型。该第一半导体层垂直配置成与该衬底的一部分界定第一p-n接面,并且该第二半导体层垂直配置成与该第一半导体层界定第二p-n接面。该结构更包括位在该第二半导体层上的场效应晶体管。该场效应晶体管包括在垂直堆栈中配置有多个纳米片通道层的鳍片、以及绕着该等纳米片通道层环绕的栅极结构。该第一p-n接面及该第二p-n接面配置成与该栅极结构及该等纳米片通道层垂直对准。
在本发明的具体实施例中,一种结构包括具有第一导电类型的衬底、具有第二导电类型的半导体层、以及位在该半导体层上的场效应晶体管。该第一半导体层垂直配置成与该衬底的一部分界定p-n接面。该场效应晶体管包括在垂直堆栈中配置有多个纳米片通道层的鳍片、以及绕着该等纳米片通道层环绕的栅极结构。该p-n接面配置成与该栅极结构及该等纳米片通道层垂直对准。
附图说明
附图是合并于本说明书的一部分并构成该部分,绘示本发明的各项具体实施例,并且连同上述对本发明的一般性说明、及下文对具体实施例提供的详细说明,目的是为了阐释本发明的具体实施例。
图1至图5根据本发明的具体实施例,为一种装置结构在处理方法的接续阶段时的截面图。
图2A为该装置结构大体上在穿过诸栅极结构其中一者延展的平面中取看的截面图。
图6至图8根据本发明的替代具体实施例,为装置结构的截面图。
主要组件符号说明:
10 掺杂层
11 p-n接面
12 掺杂层
13 p-n接面
14 衬底
16 半导体层
18 牺牲半导体层
20 鳍片
21 n型井
22 沟槽隔离区
24 牺牲栅极结构
28 硬掩膜区段
30 间隔物
32 沟槽
34 介电质间隔物
36 沟槽隔离区
38 间隙填充层
40 源极/漏极区
42 功能性栅极结构
50 场效应晶体管
52 p-n接面
d0、dl 深度。
具体实施方式
请参阅图1,并且根据本发明的具体实施例,掺杂层10及掺杂层12位于衬底14上,掺杂层10垂直配置于掺杂层12与衬底14之间。衬底14可以是由单晶硅(single-crystalsilicon)、或绝缘体上覆半导体(semiconductor-on-insulator;SOI)衬底的硅装置层所组成的主体衬底。掺杂层10及掺杂层12各与衬底14具有磊晶关系,并且彼此具有磊晶关系,使得晶体结构都相同。
掺杂层12的半导体材料与掺杂层10的半导体材料具有相反导电性,并且在代表性具体实施例中,衬底14的半导体材料与掺杂层10的半导体材料亦具有相反类型。在一具体实施例中,掺杂层10的半导体材料可轻度掺杂有电活性掺质,诸如选自于周期表第五族(例如:磷(P)、砷(As)或锑(Sb))对于付与n型导电性有效的n型掺质,并且掺杂层12与衬底14的半导体材料可轻度掺杂有选自于周期表第三族(例如:硼(B))在浓度方面对于付与p型导电性有效的电活性掺质。掺杂层10及掺杂层12可通过衬底14的离子布植来形成,或可磊晶生长于衬底14上。
若掺杂层10、12是通过磊晶生长所形成,则衬底14的晶体结构为了生长掺杂层10与12的晶体结构而建立结晶模板。举例而言,掺杂层10与12可使用以范围自400℃至850℃的生长温度进行的低温磊晶(low temperature epitaxial;LTE)生长程序来形成,诸如气相磊晶术(vapor phase epitaxy;VPE)。掺杂层10、12的半导体材料可在生长至具有相反导电性类型期间予以原位掺杂。
若掺杂层10、12是通过离子布植所形成,授予一种导电性类型的含能离子受引穿过衬底14的顶端表面,并且大体上因能量损失而终止于该顶端表面下方的垂直深度上方以形成掺杂层12。授予相反导电性类型的含能离子受引穿过衬底14的顶端表面,并且大体上因能量损失而终止于该顶端表面下方的垂直深度上方以形成掺杂层10。在各实例中,该等离子可产生自合适的来源气体,并且使用离子布植工具以所选布植条件植入衬底14。布植条件(例如:离子种类、剂量、动能)可经选择以判定各掺杂层10、12的导电性及深度分布(例如:厚度)。
半导体层16与牺牲半导体层18是以交替串联方式在掺杂层12上形成为垂直堆栈。半导体层16可以是由诸如单晶硅(Si)的半导体材料所组成的纳米线或纳米片。牺牲半导体层18可由诸如硅锗(SiGe)的半导体材料所组成。半导体层16与18可由透过磊晶生长程序形成的单晶半导体材料所构成,并且至少该半导体层16可未经掺杂。牺牲半导体层18的半导体材料经选择而对半导体层16的半导体材料选择性遭受移除。“选择性”一词参照材料移除程序(例如:蚀刻)于本文中使用时,表示凭借选择适当的蚀刻剂,目标材料的材料移除率(即蚀刻率)大于经受材料移除程序的至少另一材料的移除率。半导体层16及牺牲半导体层18的数目可有别于代表性具体实施例中所示的数目。
请参阅图2、图2A,图中相似的参考组件符号是指图1中相似的特征,而在处理方法的后续制作阶段,鳍片20可通过光刻与蚀刻程序来形成,诸如侧壁影像移转(sidewallimaging transfer;SIT)程序或自对准双图案化(self-aligned double patterning;SADP)。鳍片20是由半导体层16与18的半导体材料所构成的三维本体,并且可与其它等同鳍片(图未示)配置成纵向平行列。鳍片20相对于掺杂层12的顶端表面顺着垂直方向凸出。
形成从掺杂层12的顶端表面起延展的沟槽隔离区22,其穿透掺杂层10与掺杂层12,并且进一步穿入衬底14至浅深度。沟槽隔离区22可由介电材料诸如硅的氧化物(例如:二氧化硅(SiO2))所组成,通过化学气相沉积(chemical vapor deposition;CVD)来沉积,并且回蚀至掺杂层12的顶端表面。
形成与鳍片20及沟槽隔离区22的外部表面重叠的牺牲栅极结构24。牺牲栅极结构24可由诸如多晶硅的半导体材料所组成,通过CVD来沉积并且利用反应性离子蚀刻(reactive ion etching;RIE)来图案化。由于图案化,牺牲栅极结构24可通过各别硬掩膜(hardmask)区段28来覆盖。间隔物(spacer)30位于与牺牲栅极结构24的垂直侧壁相邻处。间隔物30可由受沉积及异向性蚀刻的低k介电材料诸如碳氧化硅(SiOC)所组成。
请参阅图3,图中相似的参考组件符号是指图2中相似的特征,而在处理方法的后续制作阶段,形成从鳍片20的顶端表面延展穿过鳍片20、及掺杂层10、12两者进入衬底14至浅深度的沟槽32。沟槽32位于诸牺牲栅极结构24之间的间隔物中。沟槽32在掺杂层10、12及衬底14的各别部分相对于掺杂层12的顶端表面具有给定深度d0。
通过形成沟槽32使鳍片20的垂直侧壁曝露之后,利用对半导体层16选择性移除牺牲半导体层18的蚀刻程序使牺牲半导体层18凹陷。在介于半导体层16的诸相邻对之间的凹口中形成介电质间隔物34。介电质间隔物34可由介电材料诸如氮化硅(Si3N4)所组成,通过原子层沉积(atomic layer deposition;ALD)予以沉积于该等凹口中、及鳍片20的垂直侧壁与顶端表面上,并且通过等向性蚀刻程序诸如热磷酸蚀刻予以蚀刻,将不位于该等凹口内侧的介电材料移除。
请参阅图4,图中相似的参考组件符号是指图3中相似的特征,而在处理方法的后续制作阶段,沟槽32在掺杂层10、12与衬底14中的各别部分是以介电材料填充而形成沟槽隔离区36。构成沟槽隔离区36的介电材料可以是硅的氧化物(例如:二氧化硅(SiO2)),通过CVD来沉积,并且回蚀至掺杂层12的顶端表面。沟槽隔离区36符合掺杂层10、12与衬底14中沟槽32的形状。沟槽隔离区36从沟槽32的最大深度垂直延展至掺杂层12的顶端表面,并且因此延展至鳍片20的底端表面。结果是,沟槽隔离区36具有与沟槽32的最大深度相等的高度或厚度。沟槽隔离区36将各掺杂层10与12区分成多个区段。
场效应晶体管50的源极/漏极区40形成于诸牺牲栅极结构24之间所曝露的鳍片20的侧表面相邻处。源极/漏极区40位于沟槽隔离区36上,并且在沟槽隔离区36上面顺着垂直方向延展。“源极/漏极区”一词于本文中使用时,意为半导体材料的掺杂区,其可作用为场效应晶体管的源极或漏极。源极/漏极区40与半导体层16连接,并且通过介电质间隔物34与牺牲半导体层18实体隔离。至少部分由于沟槽32可提供自对准的关系,诸沟槽隔离区36其中一者与各源极/漏极区40对准。
构成源极/漏极区40的半导体材料可重度掺杂成具有p型导电性或n型导电性。在一具体实施例中,源极/漏极区40可通过选择性磊晶生长(selective epitaxial growth;SEG)程序来形成,其中半导体材料为了在半导体表面(例如:半导体层16)上磊晶生长而集结,但不为了从绝缘体表面(例如:硬掩膜区段28、间隔物30及沟槽隔离区36)开始磊晶生长而集结。
请参阅图5,图中相似的参考组件符号是指图4中相似的特征,而在处理方法的后续制作阶段,间隙填充层38经沉积及平坦化而与硬掩膜区段28共面。间隙填充层38可由透过CVD沉积的介电材料诸如二氧化硅(SiO2)所组成。在取代栅极程序中,牺牲栅极结构24及牺牲半导体层18遭受移除,并且以场效应晶体管50的功能性栅极结构42来取代。半导体层16界定场效应晶体管50的配置成垂直堆栈的纳米线或纳米片通道区。功能性栅极结构42的区段位于先前遭由已移除牺牲半导体层18占位的空间中,并且围绕环绕式栅极配置中的半导体层16,于该环绕式栅极配置中,绕着个别半导体层16环绕栅极结构的区段。
功能性栅极结构42可包含由诸如高k介电质的介电材料所组成的栅极介电层、由一或多个阻障金属层及/或诸如碳化钛铝(TiAlC)或氮化钛(TiN)等功函数金属层所组成的金属栅极电极、以及由诸如钨(W)的导体所组成的金属栅极填充层。该栅极介电层配置于该栅极电极与半导体层16之间。“牺牲栅极结构”一词于本文中使用时,是指供待随后形成的功能性栅极结构用的占位(placeholder)结构。“功能性栅极结构”一词于本文中使用时,是指用于对半导电性装置(semiconducting device)的输出电流(即通道中的载子流量)进行控制的永久栅极结构。
接着进行硅化(silicidation)、中段(middle-of-line;MOL)、及后段(back-end-of-line;BEOL)处理,其包括对上覆于装置结构的局部互连结构形成接触并进行配线,以及对通过互连配线与场效应晶体管50的功能性栅极结构42及源极/漏极区40耦接的互连结构形成介电层、贯孔插塞及配线。
掺杂层10及掺杂层12具有相反导电性类型,界定二极管的p-n接面11特性。掺杂层10与衬底14亦具有相反导电性类型,界定与其它二极管串联的二极管的p-n接面13。在一具体实施例中,掺杂层12与衬底14可由p型半导体材料所组成,并且掺杂层10可由n型半导体材料所组成。
通过p-n接面11、13所界定的这些背对背二极管与衬底14中的寄生通道电容以电串联方式连接,该寄生通道电容与场效应晶体管50的切换期间施加至功能性栅极结构42的电压相关联。有效电容等于寄生通道电容加二极管电容。由于引进的二极管电容大,有效电容比寄生通道电容小相当多。
掺杂层10、12及p-n接面11、13垂直配置于场效应晶体管50的半导体层16及功能性栅极结构42所界定的纳米片通道层下方。沟槽隔离区36仅垂直位于场效应晶体管50的源极/漏极区40下方,并且通过将p-n接面11、13区分成诸区段而中断p-n接面11、13的连续性。p-n接面11、13的区段是与各组功能性栅极结构42、及半导体层16所界定的纳米片通道层垂直对准而置。沟槽隔离区36为掺杂层10、12的侧缘建立侧向边界,并且为p-n接面11、13建立终止平面。p-n接面11、13位于比沟槽32、及沟槽32中沟槽隔离区36的最大深度更浅的各别深度处。
在一具体实施例中,场效应晶体管50可以是长通道装置,其中鳍片20具有长到足以可将鳍片20的侧边引起的边缘效应忽略的宽度与长度。
请参阅图6,其中相似的参考组件符号是指图5中相似的特征,并且根据本发明的具体实施例,可修改沟槽隔离区36及p-n接面13的配置,使得p-n接面13相对于沟槽隔离区36下方(即更深处)掺杂层12的顶端表面再定位至一深度。具体而言,可将p-n接面13定位于比深度d0(图3)更大的深度dl处。在一具体实施例中,可增加掺杂层10顺着垂直方向的高度或厚度以提供该修改。在一具体实施例中,可将沟槽32修改成仅部分穿过掺杂层10延展,从而因为穿透深度更浅而未穿入衬底14。
请参阅图7,其中相似的参考组件符号是指图5中相似的特征,而且根据本发明的具体实施例,可将掺杂层10从该结构排除,并且衬底14的半导体材料的导电性类型可经选择而与掺杂层12的半导体材料的导电性类型相反。衬底14的突指(finger)部分在相邻沟槽隔离36之间垂直延展,以与水平位于相邻沟槽隔离36之间的掺杂层12的相关联区段参与形成p-n接面52。仅存在单一p-n接面52,故而提供单一二极管,该二极管与衬底14中的寄生通道电容以电串联方式连接,该寄生通道电容与场效应晶体管50的切换期间施加至功能性栅极结构42的电压相关联。
在一具体实施例中,可将掺杂层12的半导体材料掺杂成具有p型导电性,并且可将衬底14的半导体材料掺杂成具有n型导电性。具有此一垂直导电性类型配置的掺杂层12与衬底14对于p型的场效应晶体管50尤其适用。在一具体实施例中,可将掺杂层12的半导体材料掺杂成具有n型导电性,并且可将衬底14的半导体材料掺杂成具有p型导电性。具有此一垂直导电性类型配置的掺杂层12与衬底14对于n型的场效应晶体管50尤其适用。
请参阅图8,其中相似的参考组件符号是指图5中相似的特征,而且根据本发明的具体实施例,可将掺杂层10的半导体材料掺杂成具有p型导电性,可将掺杂层12的半导体材料掺杂成具有n型导电性,并且可将掺杂层10定位于p型衬底14中通过例如离子布植所形成的n型井(well)21的半导体材料中。
本方法如以上所述,用于制作集成电路芯片。产生的集成电路芯片可由制作商以空白晶圆形式(例如:作为具有多个未封装芯片的单一晶圆)、当作裸晶粒、或以封装形式来配送。在后例中,芯片嵌装于单芯片封装(例如:塑料载体,有导线黏贴至主板或其它更高层阶载体)中、或多芯片封装(例如:具有表面互连或埋置型互连任一者或两者的陶瓷载体)中。无论如何,芯片可与其它芯片、离散电路组件、及/或其它信号处理装置整合,作为中间产品或或最终产品的部分。
本文中对“垂直”、“水平”、“侧向”等用语的参照属于举例,并非限制,用来建立参考架构。诸如“水平”与“侧向”等用语是指平面中与半导体衬底的顶端表面平行的方向,与其实际三维空间方位无关。诸如“垂直”与“正交”等用语是指与“水平”及“侧向”方向垂直的方向。诸如“上面”及“下面”等用语指出组件或结构彼此的相对位置,及/或与半导体衬底的顶端表面相对的位置,与相对高度截然不同。
“连接”或“耦接”至另一组件、或与该另一组件“连接”或“耦接”的特征可直接连接或耦接至其它组件,或者,转而可出现一或多个中介组件。如无中介组件,一特征可“直接连接”或“直接耦接”至另一组件。如有至少一个中介组件,一特征可“间接连接”或“间接耦接”至另一组件。
本发明的各项具体实施例的描述已为了说明目的而介绍,但用意不在于穷举或受限于所揭示的具体实施例。许多修改及变例对所属领域技术人员将会显而易见,但不会脱离所述具体实施例的范畴及精神。本文中使用的术语是为了最佳阐释具体实施例的原理、对市场出现的技术所作的实务应用或技术改良、或让所属领域技术人员能够理解本文中所揭示的具体实施例而选择。

Claims (20)

1.一种结构,其包含:
层堆栈,其包括具有第一导电类型的第一半导体层及具有第二导电类型的第二半导体层,该第一半导体层垂直配置成与该第二半导体层界定第一p-n接面;以及
位在该第一半导体层上的场效应晶体管,该场效应晶体管包括在垂直堆栈中配置有多个纳米片通道层的鳍片、及绕着该纳米片通道层环绕的栅极结构,
其中,该第一p-n接面配置成与该栅极结构及该纳米片通道层垂直对准。
2.如权利要求1所述的结构,更包含:
穿过该第一半导体层及该第一p-n接面延展的第一沟槽隔离区;以及
穿过该第一半导体层及该第一p-n接面延展的第二沟槽隔离区,该第二沟槽隔离区与该第一沟槽隔离区水平相隔,
其中,该第一p-n接面水平配置于该第一沟槽隔离区与该第二沟槽隔离区之间。
3.如权利要求2所述的结构,其中,该第二半导体层为半导体衬底。
4.如权利要求2所述的结构,其中,该层堆栈包括具有该第一导电类型的第三半导体层,该第二半导体层垂直配置于该第一半导体层与该第三半导体层之间,并且该第三半导体层与该第二半导体层界定第二p-n接面。
5.如权利要求4所述的结构,其中,该第一沟槽隔离区及该第二沟槽隔离区各穿过该第二半导体层及该第二p-n接面延展至该第三半导体层内,并且该第二p-n接面水平配置于该第一沟槽隔离区与该第二沟槽隔离区之间。
6.如权利要求4所述的结构,其中,该第三半导体层为半导体衬底。
7.如权利要求4所述的结构,其中,该第三半导体层为位在半导体衬底中的井体。
8.如权利要求4所述的结构,其中,该第一沟槽隔离区及该第二沟槽隔离区相对于该第一半导体层的顶端表面延展至第一深度,该第二p-n接面相对于该第一半导体层的该顶端表面位于第二深度处,并且该第二深度大于该第一深度。
9.如权利要求2所述的结构,其中,该场效应晶体管包括第一源极/漏极区及第二源极/漏极区,该栅极结构及该纳米片通道层水平配置于该第一源极/漏极区与该第二源极/漏极区之间,该第一源极/漏极区配置成与该第一沟槽隔离区垂直对准,并且该第二源极/漏极区配置成与该第二沟槽隔离区垂直对准。
10.如权利要求1所述的结构,其中,该第二半导体层为半导体衬底。
11.如权利要求1所述的结构,其中,该层堆栈包括具有该第一导电类型的第三半导体层,该第二半导体层垂直配置于该第一半导体层与该第三半导体层之间,并且该第三半导体层与该第二半导体层界定第二p-n接面。
12.如权利要求11所述的结构,其中,该第三半导体层为半导体衬底。
13.如权利要求11所述的结构,其中,该第三半导体层为位在半导体衬底中的井体。
14.一种方法,其包含:
形成层堆栈,其包括具有第一导电类型的第一半导体层及具有第二导电类型的第二半导体层,该第一半导体层垂直配置成与该第二半导体层界定第一p-n接面;以及
形成位在该第一半导体层上的场效应晶体管,该场效应晶体管包括在垂直堆栈中配置有多个纳米片通道层的鳍片、及绕着该纳米片通道层环绕的栅极结构,
其中,该第一p-n接面配置成与该栅极结构及该纳米片通道层垂直对准。
15.如权利要求14所述的方法,更包含:
形成穿过该第一半导体层及该第一p-n接面延展的第一沟槽隔离区;以及
形成穿过该第一半导体层及该第一p-n接面延展的第二沟槽隔离区,
其中,该第二沟槽隔离区与该第一沟槽隔离区水平相隔,并且该第一p-n接面水平配置于该第一沟槽隔离区与该第二沟槽隔离区之间。
16.如权利要求15所述的方法,其中,该层堆栈包括具有该第一导电类型的第三半导体层,该第二半导体层垂直配置于该第一半导体层与该第三半导体层之间,并且该第三半导体层与该第二半导体层界定第二p-n接面。
17.如权利要求16所述的方法,其中,该第一沟槽隔离区及该第二沟槽隔离区各穿过该第二半导体层及该第二p-n接面延展至该第三半导体层内,并且该第二p-n接面水平配置于该第一沟槽隔离区与该第二沟槽隔离区之间。
18.如权利要求16所述的方法,其中,该第一沟槽隔离区及该第二沟槽隔离区相对于该第一半导体层的顶端表面延展至第一深度,该第二p-n接面相对于该第一半导体层的该顶端表面位于第二深度处,并且该第二深度大于该第一深度。
19.如权利要求14所述的方法,其中,该层堆栈包括具有该第一导电类型的第三半导体层,该第二半导体层垂直配置于该第一半导体层与该第三半导体层之间,并且该第三半导体层与该第二半导体层界定第二p-n接面。
20.如权利要求19所述的方法,其中,该第三半导体层为半导体衬底、或位在该半导体衬底中的井体。
CN201810288229.8A 2017-04-05 2018-04-03 具有二极管隔离的堆栈式纳米片场效应晶体管 Active CN108695230B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/479,801 US9847391B1 (en) 2017-04-05 2017-04-05 Stacked nanosheet field-effect transistor with diode isolation
US15/479,801 2017-04-05

Publications (2)

Publication Number Publication Date
CN108695230A true CN108695230A (zh) 2018-10-23
CN108695230B CN108695230B (zh) 2022-05-24

Family

ID=60629119

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810288229.8A Active CN108695230B (zh) 2017-04-05 2018-04-03 具有二极管隔离的堆栈式纳米片场效应晶体管

Country Status (4)

Country Link
US (1) US9847391B1 (zh)
CN (1) CN108695230B (zh)
DE (1) DE102018205057B4 (zh)
TW (1) TWI688096B (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020174354A1 (en) * 2019-02-27 2020-09-03 International Business Machines Corporation Transistor channel having vertically stacked nanosheets coupled by fin-shaped bridge regions
US10797163B1 (en) 2019-04-29 2020-10-06 International Business Machines Corporation Leakage control for gate-all-around field-effect transistor devices
CN112074930A (zh) * 2018-10-31 2020-12-11 华为技术有限公司 集成电路器件及其制备方法
WO2021009606A1 (en) * 2019-07-17 2021-01-21 International Business Machines Corporation Direct print and self-aligned double patterning of nanosheets
US10957799B2 (en) 2019-02-27 2021-03-23 International Business Machines Corporation Transistor channel having vertically stacked nanosheets coupled by fin-shaped bridge regions
CN112951723A (zh) * 2019-12-10 2021-06-11 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN113675089A (zh) * 2020-05-15 2021-11-19 中芯国际集成电路制造(上海)有限公司 半导体结构及半导体结构的形成方法
WO2021249262A1 (en) * 2020-06-13 2021-12-16 International Business Machines Corporation Nanosheet gated diode

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10170608B2 (en) * 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
CN107452793B (zh) 2016-06-01 2020-07-28 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US11177364B2 (en) * 2017-11-03 2021-11-16 Samsung Electronics Co., Ltd. Integrated circuit device and method of manufacturing the same
US11171207B2 (en) 2017-12-20 2021-11-09 Intel Corporation Transistor with isolation below source and drain
US11195796B2 (en) * 2018-05-08 2021-12-07 Mediatek Inc. Semiconductor device structure and method for forming the same
US10276442B1 (en) * 2018-05-30 2019-04-30 Globalfoundries Inc. Wrap-around contacts formed with multiple silicide layers
US10818751B2 (en) * 2019-03-01 2020-10-27 International Business Machines Corporation Nanosheet transistor barrier for electrically isolating the substrate from the source or drain regions
KR20200134404A (ko) 2019-05-22 2020-12-02 삼성전자주식회사 반도체 장치
US11088034B2 (en) * 2019-05-22 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11165032B2 (en) * 2019-09-05 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor using carbon nanotubes
US11799009B2 (en) * 2019-12-17 2023-10-24 Intel Corporation Gate-all-around integrated circuit structures having adjacent structures for sub-fin electrical contact
US11444200B2 (en) 2019-12-26 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with isolating feature and method for forming the same
US11646306B2 (en) 2021-03-24 2023-05-09 International Business Machines Corporation Co-integration of gate-all-around FET, FINFET and passive devices on bulk substrate
US20230110825A1 (en) * 2021-09-27 2023-04-13 International Business Machines Corporation Electrostatic discharge diode having dielectric isolation layer
US20230187535A1 (en) * 2021-12-14 2023-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with modified spacer and method for forming the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103855090A (zh) * 2012-12-03 2014-06-11 国际商业机器公司 半导体结构及其形成方法
US20140339507A1 (en) * 2013-05-14 2014-11-20 International Business Machines Corporation Stacked semiconductor nanowires with tunnel spacers
US20150263089A1 (en) * 2014-03-12 2015-09-17 Globalfoundries Inc. Non-planar semiconductor device with p-n junction located in substrate
CN105993064A (zh) * 2013-12-27 2016-10-05 英特尔公司 用于环绕栅极架构的选择性蚀刻

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102007067A (zh) * 2008-04-15 2011-04-06 昆南诺股份有限公司 纳米线围栅装置
US8809131B2 (en) 2012-07-17 2014-08-19 International Business Machines Corporation Replacement gate fin first wire last gate all around devices
US9711414B2 (en) * 2014-10-21 2017-07-18 Samsung Electronics Co., Ltd. Strained stacked nanosheet FETS and/or quantum well stacked nanosheet
US10170549B2 (en) * 2014-10-21 2019-01-01 Samsung Electronics Co., Ltd. Strained stacked nanosheet FETs and/or quantum well stacked nanosheet
US9461114B2 (en) * 2014-12-05 2016-10-04 Samsung Electronics Co., Ltd. Semiconductor devices with structures for suppression of parasitic bipolar effect in stacked nanosheet FETs and methods of fabricating the same
EP3070737A1 (en) * 2015-03-17 2016-09-21 IMEC vzw Vertical Fin-FET semiconductor device
US10134840B2 (en) 2015-06-15 2018-11-20 International Business Machines Corporation Series resistance reduction in vertically stacked silicon nanowire transistors
US9704962B1 (en) * 2015-12-16 2017-07-11 Globalfoundries Inc. Horizontal gate all around nanowire transistor bottom isolation
US20170207313A1 (en) * 2016-01-15 2017-07-20 Qualcomm Incorporated NANOWIRE METAL-OXIDE SEMICONDUCTOR (MOS) FIELD-EFFECT TRANSISTORS (FETs) (MOSFETs) EMPLOYING A NANOWIRE CHANNEL STRUCTURE EMPLOYING RECESSED CONDUCTIVE STRUCTURES FOR CONDUCTIVELY COUPLING NANOWIRE STRUCTURES
US9748335B1 (en) * 2016-02-29 2017-08-29 Globalfoundries Inc. Method, apparatus and system for improved nanowire/nanosheet spacers

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103855090A (zh) * 2012-12-03 2014-06-11 国际商业机器公司 半导体结构及其形成方法
US20140339507A1 (en) * 2013-05-14 2014-11-20 International Business Machines Corporation Stacked semiconductor nanowires with tunnel spacers
CN105993064A (zh) * 2013-12-27 2016-10-05 英特尔公司 用于环绕栅极架构的选择性蚀刻
US20150263089A1 (en) * 2014-03-12 2015-09-17 Globalfoundries Inc. Non-planar semiconductor device with p-n junction located in substrate

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112074930A (zh) * 2018-10-31 2020-12-11 华为技术有限公司 集成电路器件及其制备方法
GB2595160A (en) * 2019-02-27 2021-11-17 Ibm Transistor channel having vertically stacked nanosheets coupled by fin-shaped bridge regions
US10957799B2 (en) 2019-02-27 2021-03-23 International Business Machines Corporation Transistor channel having vertically stacked nanosheets coupled by fin-shaped bridge regions
GB2595160B (en) * 2019-02-27 2024-05-22 Ibm Transistor channel having vertically stacked nanosheets coupled by fin-shaped bridge regions
US10903369B2 (en) 2019-02-27 2021-01-26 International Business Machines Corporation Transistor channel having vertically stacked nanosheets coupled by fin-shaped bridge regions
CN113491014B (zh) * 2019-02-27 2024-04-19 国际商业机器公司 具有通过鳍状桥接区耦合的垂直堆叠的纳米片的晶体管沟道
WO2020174354A1 (en) * 2019-02-27 2020-09-03 International Business Machines Corporation Transistor channel having vertically stacked nanosheets coupled by fin-shaped bridge regions
CN113491014A (zh) * 2019-02-27 2021-10-08 国际商业机器公司 具有通过鳍状桥接区耦合的垂直堆叠的纳米片的晶体管沟道
US10797163B1 (en) 2019-04-29 2020-10-06 International Business Machines Corporation Leakage control for gate-all-around field-effect transistor devices
US11257681B2 (en) 2019-07-17 2022-02-22 International Business Machines Corporation Using a same mask for direct print and self-aligned double patterning of nanosheets
GB2600338A (en) * 2019-07-17 2022-04-27 Ibm Direct print and self-aligned double patterning of nanosheets
GB2600338B (en) * 2019-07-17 2023-08-09 Ibm Direct print and self-aligned double patterning of nanosheets
WO2021009606A1 (en) * 2019-07-17 2021-01-21 International Business Machines Corporation Direct print and self-aligned double patterning of nanosheets
CN112951723A (zh) * 2019-12-10 2021-06-11 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN112951723B (zh) * 2019-12-10 2024-01-26 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN113675089A (zh) * 2020-05-15 2021-11-19 中芯国际集成电路制造(上海)有限公司 半导体结构及半导体结构的形成方法
WO2021249262A1 (en) * 2020-06-13 2021-12-16 International Business Machines Corporation Nanosheet gated diode

Also Published As

Publication number Publication date
US9847391B1 (en) 2017-12-19
DE102018205057B4 (de) 2022-12-15
DE102018205057A1 (de) 2018-10-11
TWI688096B (zh) 2020-03-11
CN108695230B (zh) 2022-05-24
TW201901960A (zh) 2019-01-01

Similar Documents

Publication Publication Date Title
CN108695230A (zh) 具有二极管隔离的堆栈式纳米片场效应晶体管
US10242917B2 (en) Semiconductor devices including active fins and methods of manufacturing the same
TWI669269B (zh) 在奈米片場效電晶體之內間隔件形成
US10109714B2 (en) Buried contact structures for a vertical field-effect transistor
TWI682511B (zh) 半導體裝置
US10622444B2 (en) FinFET semiconductor device with a dummy gate, first gate spacer and second gate spacer
US10177093B2 (en) Semiconductor devices and methods of manufacturing the same
CN102194872B (zh) 双垂直沟道晶体管
US9780097B2 (en) Dual-port SRAM devices and methods of manufacturing the same
CN103199012B (zh) Io esd器件及其形成方法
CN109817618A (zh) 互补场效应晶体管中的外延结构
CN205282482U (zh) 集成电路晶体管器件和集成电路
CN106298778A (zh) 半导体器件及其制造方法及包括该器件的电子设备
CN103187304A (zh) 制造半导体器件和晶体管的方法
CN102891087A (zh) 与体硅衬底绝缘的半导体器件结构及其形成方法
TWI539577B (zh) 用於溝槽式裝置的整合式閘極佈設區及場植入部終止技術
TWI762921B (zh) 半導體器件及其製造方法及包括該半導體器件的電子設備
TWI478288B (zh) 閘流體隨機存取記憶體裝置及方法
US20200273979A1 (en) Transistor channel having vertically stacked nanosheets coupled by fin-shaped bridge regions
US20150028419A1 (en) Fin field effect transistor with dielectric isolation and anchored stressor elements
US10109634B2 (en) Semiconductor device having air gap and method for manufacturing the same, memory cell having the same and electronic device having the same
TWI685898B (zh) 具有重新對準之特徵佈局的鰭基二極體結構
CN105895676A (zh) 双极结晶体管(bjt)基极导体回调
TWI711117B (zh) 異質接面雙極性電晶體及形成異質接面雙極性電晶體之方法
CN107026196A (zh) 具有外质装置区无沟槽隔离的双极性接面晶体管

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right
TA01 Transfer of patent application right

Effective date of registration: 20210308

Address after: California, USA

Applicant after: Lattice chip (USA) integrated circuit technology Co.,Ltd.

Address before: Greater Cayman Islands, British Cayman Islands

Applicant before: GF

GR01 Patent grant
GR01 Patent grant