CN108288604B - 接触插塞及其制造方法 - Google Patents

接触插塞及其制造方法 Download PDF

Info

Publication number
CN108288604B
CN108288604B CN201711208758.4A CN201711208758A CN108288604B CN 108288604 B CN108288604 B CN 108288604B CN 201711208758 A CN201711208758 A CN 201711208758A CN 108288604 B CN108288604 B CN 108288604B
Authority
CN
China
Prior art keywords
dielectric
gate
forming
source
contact
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201711208758.4A
Other languages
English (en)
Other versions
CN108288604A (zh
Inventor
潘国华
许哲玮
陈华丰
林俊铭
彭陈锽
谢旻谚
巫嘉豪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN108288604A publication Critical patent/CN108288604A/zh
Application granted granted Critical
Publication of CN108288604B publication Critical patent/CN108288604B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66606Lateral single gate silicon transistors with final source and drain contacts formation strictly before final or dummy gate formation, e.g. contact first technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一种方法包括形成晶体管,其中,形成晶体管包括在半导体区上方形成伪栅极堆叠件,以及形成第一层间电介质。伪栅极堆叠件位于第一ILD中,并且第一ILD覆盖半导体区中的源极/漏极区。该方法还包括去除伪栅极堆叠件以在第一ILD中形成沟槽,在沟槽中形成低k栅极间隔件,形成延伸到沟槽中的替换栅极电介质,形成金属层以填充沟槽,以及实施平坦化以去除替换栅极电介质和金属层的多余部分,以分别形成栅极电介质和金属栅极。然后在金属栅极的相对侧上形成源极区和漏极区。本发明实施例涉及接触插塞及其制造方法。

Description

接触插塞及其制造方法
技术领域
本发明实施例涉及接触插塞及其制造方法。
背景技术
在晶体管的最近发展中,金属可用于形成接触插塞和金属栅极。接触插塞用于连接晶体管的源极区和漏极区以及栅极。源极/漏极接触插塞通常连接至源极/漏极硅化物区,其中,源极/漏极硅化物区通过沉积金属层,并且然后实施退火以使金属层与源极/漏极区中的硅反应来形成。栅极接触插塞用于连接至金属栅极。
金属栅极的形成可以包括形成伪栅极堆叠件,去除伪栅极堆叠以形成开口,将金属材料填充到开口中,以及实施平坦化以去除多余的金属材料从而形成金属栅极。然后凹进金属栅极以形成凹槽,并且将介电硬掩模填充到凹槽中。当形成栅极接触插塞时,去除硬掩模,从而使得栅极接触插塞可以接触金属栅极。
发明内容
根据本发明的一些实施例,提供了一种形成半导体器件的方法,包括:形成晶体管,包括:在伪栅极的侧部上形成源极/漏极区;形成覆盖所述源极/漏极区的第一层间电介质(ILD);去除所述伪栅极以在所述第一层间电介质中形成沟槽;形成延伸到所述沟槽中的栅极介电层;在所述栅极介电层上方形成金属材料;以及实施平坦化以去除所述栅极介电层和所述金属材料的多余部分,以分别形成栅极电介质和金属栅极;在所述第一层间电介质和所述金属栅极上方形成第二层间电介质,其中,在形成所述第二层间电介质时,所述金属栅极的顶面和所述第一层间电介质的顶面与上面的同一介电层的底面接触;形成电连接至所述源极/漏极区的源极/漏极接触插塞,其中,所述源极/漏极接触插塞穿过所述第一层间电介质和所述第二层间电介质;以及在所述金属栅极上方形成与所述金属栅极接触的栅极接触插塞。
根据本发明的另一些实施例,还提供了一种形成半导体器件的方法,包括:形成晶体管,包括:在半导体区上方形成伪栅极堆叠件;形成第一层间电介质(ILD),其中,所述伪栅极堆叠件位于所述第一层间电介质中,并且所述第一层间电介质覆盖所述半导体区中的源极/漏极区;去除所述伪栅极堆叠件以在所述第一层间电介质中形成沟槽;在所述沟槽中形成低k栅极间隔件;形成延伸到所述沟槽中的替换栅极电介质;形成金属层以填充所述沟槽;和实施平坦化以去除所述替换栅极电介质和所述金属层的多余部分,以分别形成栅极电介质和金属栅极;以及形成源极区和漏极区,其中,所述源极区和所述漏极区位于所述金属栅极的相对侧上。
根据本发明的又一些实施例,还提供了一种半导体器件,包括:第一层间电介质(ILD);第一栅极间隔件,位于所述第一层间电介质中;栅极电介质,位于所述第一栅极间隔件的相对部分之间的开口中;金属栅极,位于所述栅极电介质上方,其中,所述金属栅极的顶面、所述第一栅极间隔件的顶端和第一层间电介质的顶面与同一介电层的底面接触;第二层间电介质,位于所述第一层间电介质上方;源极/漏极区,与所述金属栅极相邻;源极/漏极接触插塞,位于所述源极/漏极区上方并电连接至所述源极/漏极区,其中,所述源极/漏极接触插塞穿过所述第一层间电介质和所述第二层间电介质;以及接触间隔件,围绕所述源极/漏极接触插塞。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳地理解本发明的各个方面。应该注意,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增大或减小。
图1至21是根据一些实施例的形成晶体管的中间阶段的透视图和截面图。
图22示出根据一些实施例的用于形成晶体管和接触插塞的工艺流程。
具体实施方式
以下公开内容提供了许多用于实现本发明的不同特征的许多不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件以直接接触的方式形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
进一步地,为了便于描述,在此可以使用诸如“在…下方”、“在…之下”、“下部”、“在…上方”、“上部”等空间相对位置术语以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对位置术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),并且在此使用的空间相对位置描述语可以同样地作出相应的解释。
根据各个示例性实施例提供了晶体管及其形成方法。根据一些实施例示出了形成晶体管的中间阶段。讨论了一些实施例的一些变化。遍及各个视图和示例性实施例,相同的参考标号用于指代相同的元件。在所示的示例性实施例中,使用鳍式场效应晶体管(FinFET)的形成作为示例来解释本公开的构思。平面晶体管也可以采用本发明的构思。
图1至图21示出根据本公开的一些实施例的形成FinFET的中间阶段的截面图和透视图。图1至图21中所示的步骤还在图22所示的工艺流程中示意性地示出。
图1示出初始结构的透视图。初始结构包括晶圆10,其中,晶圆10进一步包括衬底20。衬底20可以是半导体衬底,其中,半导体衬底可以是硅衬底、硅锗衬底或由其他半导体材料形成的衬底。衬底20可以掺杂有p型杂质或n型杂质。诸如浅沟槽隔离(STI)区的隔离区22可以形成为从衬底20的顶面延伸进入衬底20中,其中,衬底20的顶面是晶圆10的主要表面10A。位于相邻的STI区22之间的衬底20的部分称为半导体带24。根据一些示例性实施例,半导体带24的顶面和STI区22的顶面基本上彼此齐平。
STI区22可以包括衬垫氧化物(未示出),其中,衬垫氧化物可以是通过衬底20的表面层的热氧化形成的热氧化物。衬垫氧化物还可以是使用例如原子层沉积(ALD)、高密度等离子体化学汽相沉积(HDPCVD)或化学汽相沉积(CVD)形成的沉积的氧化硅层。STI区22还可以包括位于衬垫氧化物上方的介电材料,其中介电材料可以使用可流动化学汽相沉积(FCVD)、旋涂等形成。
参考图2,凹进STI区22,从而使得半导体带24的顶部部分比STI区22的顶面更高地突出,以形成突出鳍24'。可以使用干蚀刻工艺实施蚀刻,其中HF3和NH3用作蚀刻气体。在蚀刻工艺期间,可以产生等离子体。还可以包括氩气。根据本公开的可选实施例,使用湿蚀刻工艺实施STI区22的凹进。例如,蚀刻化学物质可以包括HF。
参考图3,在(突出)鳍24'的顶面和侧壁上形成伪栅极堆叠件30。应当理解,虽然为了清楚起见,示出了一个伪栅极堆叠件30,但是可以形成多个彼此平行的伪栅极堆叠件,其中,多个伪栅极堆叠件横跨相同的半导体鳍24'。伪栅极堆叠件30可以包括伪栅极电介质32和位于伪栅极电介质32上方的伪栅电极34。例如,可以使用多晶硅形成伪栅电极34,并且还可以使用其他材料。伪栅极堆叠件30还可以包括位于伪栅电极34上方的一个(或多个)硬掩模层36。硬掩模层36可以由氮化硅、碳氮化硅等形成。伪栅极堆叠件30可以横跨在一个或多个突出鳍24'和/或STI区22的上方。伪栅极堆叠件30的纵向方向垂直于突出鳍24'的纵向方向。
接下来,在伪栅极堆叠件30的侧壁上形成栅极间隔件38。根据本公开的一些实施例,栅极间隔件38由诸如碳氮化硅(SiCN)、氮化硅等的介电材料形成并且可以具有单层结构或包括多个介电层的多层结构。
然后实施蚀刻步骤(在下文中称为源极凹进/漏极凹进)以蚀刻未被伪栅极堆叠件30和栅极间隔件38覆盖的突出鳍24'的部分,从而产生图4所示的结构。该凹进可以是各向异性的,并且因此鳍24'的直接位于伪栅极堆叠件30和栅极间隔件38下方的部分受到保护并且不被蚀刻。根据一些实施例,凹进的半导体带24的顶面24A可以低于STI区22的顶面22A。因此,在STI区22之间形成凹槽40。凹槽40位于伪栅极堆叠件30的相对两侧上。
接下来,通过在凹槽40中选择性地生长半导体材料而形成外延区(源极/漏极区),从而产生图5中的结构。根据一些示例性实施例,外延区42包括硅锗或硅。根据所产生的FinFET是p型FinFET还是n型FinFET,可以随着外延的进行原位掺杂p型杂质或n型杂质。例如,当产生的FinFET是p型FinFET时,可以生长硅锗硼(SiGeB)。相反地,当产生的FinFET是n型FinFET时,可以生长硅磷(SiP)或硅碳磷(SiCP)。根据本公开的可选实施例,外延区42由诸如GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlAs、AlP、GaP、它们的组合或它们的多层的Ⅲ-Ⅴ族化合物半导体形成。在外延区42完全填充凹槽40之后,外延区42开始水平扩展,并且形成刻面。
在外延步骤之后,外延区42可以进一步注入p型杂质或n型杂质以形成源极区和漏极区,源极区和漏极区还使用参考标号42表示。根据本公开的可选实施例,在外延期间,当利用p型杂质或n型杂质原位掺杂外延区42时,跳过注入步骤。外延区42包括形成在STI区22中的下部42A和形成在STI区22的顶面22A上方的上部42B。下部42A的侧壁成形为凹槽40(图4)的形状,下部42A可以具有(基本)笔直的边缘,其中,该笔直的边缘还可以是基本垂直于衬底20的主表面(诸如底面20B)的基本垂直边缘。
图6A示出形成有层间电介质(ILD)46的结构的透视图。根据本公开的一些实施例,在形成ILD 46之前,在源极区和漏极区42上形成缓冲氧化物层(未示出)和接触蚀刻停止层(CESL)47。缓冲氧化物层可以由氧化硅形成,并且CESL 47可以由氮化硅、碳氮化硅等形成。例如,可以使用诸如ALD的共形沉积方法形成缓冲氧化物层和CESL 47。ILD 46可以包括使用例如FCVD、旋涂、CVD或其他沉积方法形成的介电材料。ILD 46还可以由正硅酸乙酯(TEOS)氧化物、等离子体增强CVD(PECVD)氧化物(SiO2)、磷硅酸盐玻璃(PSG)、硼硅酸盐玻璃(BSG)、硼掺杂的磷硅酸盐玻璃(BPSG)等形成。可以实施诸如化学机械抛光(CMP)或机械研磨的平坦化步骤以使ILD46、伪栅极堆叠件30以及栅极间隔件38的顶面彼此齐平。
在图6B中示出图6A所示的结构的截面图,其中,从图6A中包括线A-A的垂直平面获得该截面图。在截面图中,示出多个伪栅极堆叠件30中的两个,并且示出形成在相邻的伪栅极堆叠件30之间的源极/漏极区42。应当理解,可以以交替的布局形成更多的伪栅极堆叠件30和源极/漏极区42。
接下来,用替换栅极堆叠件替换包括硬掩模层36、伪栅电极34和伪栅极电介质32的伪栅极堆叠件30,其中,该替换栅极堆叠件包括如图7至图10所示的金属栅极和替换栅极电介质。从图6A中包括线A-A的相同垂直平面获得图7至图10以及后续图11至图21所示的截面图。在图7至图21中,示出STI区22的顶面的水平面22A,并且半导体鳍24'位于水平面22A上方。
当替换栅极堆叠件时,在一个或多个蚀刻步骤中,首先去除如图6A和图6B所示的硬掩模层36、伪栅电极34和伪栅极电介质32,从而形成如图7所示的沟槽/开口48。相应步骤在图22所示的工艺流程中示出为步骤202。突出的半导体鳍24'的顶面和侧壁暴露于沟槽48。
图8示出根据一些实施例形成的栅极间隔件50。相应步骤在图22所示的工艺流程中示出为步骤204。根据可选实施例,不形成栅极间隔件50。例如,为了形成栅极间隔件50,使用诸如ALD或CVD的沉积方法来形成一个或多个毯式栅极间隔件层。毯式栅极间隔件层是共形的。根据本公开的一些实施例,栅极间隔件层由氮化硅(SiN)、SiC、SiON、碳氮氧化硅、氮氧化硅或其他介电材料形成,其中,该栅极间隔件层可以与栅极间隔件38的材料以及CESL 47和ILD 46的材料中的任一种相同或不同。栅极间隔件50将后续形成的金属栅极与源极/漏极区42分开并使后续形成的金属栅极远离源极/漏极区42,从而使得它们之间的泄漏和电短路的可能性减少。
根据一些实施例,栅极间隔件50由低k介电材料形成,其中,该低k介电材料可以具有低于约3.0的介电常数(k值)。贯穿整个说明书,使用氧化硅(SiO2)的k值(约3.9)来将低k值与高k值区分开。因此,低于3.8的k值称为低k值,并且相应的介电材料称为低k介电材料。相反,高于3.9的k值称为高k值,并且相应的介电材料称为高k介电材料。例如,栅极间隔件50可以由SiON或SiOCN形成,其中,SiON或SiOCN形成为多孔,以具有所期望的低k值。低k介电栅极间隔件50的形成有利地减小了后续形成的金属栅极和源极/漏极区42之间的寄生电容。例如,在沉积毯式介电层期间,可以添加致孔剂,并且在沉积之后实施退火以清除致孔剂,从而产生孔。SiOCN的k值还可以通过调节其中的元素(诸如碳)的百分比来调节。在各向异性蚀刻中,蚀刻毯式栅极间隔件层以去除水平部分,并且剩余的垂直部分形成栅极间隔件50。
每个栅极间隔件50可以由具有均质介电材料的单层形成,或者可以由不同介电材料的多个介电层形成。例如,栅极间隔件50可以包括子间隔件50A和子间隔件50B。形成工艺可以包括沉积共形介电层并且实施各向异性蚀刻以形成子间隔件50A,以及然后沉积另一共形介电层并且实施另一各向异性蚀刻以形成子间隔件50B。
在栅极间隔件50包括子间隔件的实施例中,子间隔件50A和子间隔件50B中的任一个由诸如SiON或SiOCN(具有孔)的低k介电材料形成,并且另一个子层可以由低k介电材料、氧化硅(既不是低k也不是高k)或高k介电材料形成。氧化硅或高k介电材料具有良好的绝缘能力。因此,当一个子层由低k介电材料形成,且另一个子层由氧化硅或高k介电材料形成时,隔离能力良好,并且寄生电容也低。根据一些实施例,子间隔件50A和50B由相同的材料(例如SiON或SiOCN)形成,但具有不同的孔隙率。例如,子间隔件50A可以具有比子间隔件50B更高的孔隙率,或者子间隔件50B可以具有比子间隔件50A更高的孔隙率。
接下来,参考图9,形成延伸进入沟槽48(图8)中的(替换)栅极介电层52。相应步骤在图22所示的工艺流程中示出为步骤206。根据本公开的一些实施例,栅极介电层52包括作为其下部的界面层(IL)54。在突出鳍24'的暴露表面上形成IL 54。IL 54可以包括诸如氧化硅层的氧化物层,其中,该氧化物层通过突出鳍24'的热氧化、化学氧化工艺或沉积工艺来形成。栅极介电层52还可以包括形成在IL 54上方的高k介电层56。高k介电层56包括诸如氧化铪、氧化镧、氧化铝、氧化锆等的高k介电材料。高k介电材料的介电常数(k值)高于3.9,并且可高于约7.0。高k介电层56位于IL54上方并可接触IL 54。高k介电层56形成为共形层,并且在突出鳍24'的侧壁和栅极间隔件38/50的顶面和侧壁上延伸。根据本公开的一些实施例,使用ALD或CVD形成高k介电层56。
进一步参考图9,沉积堆叠层58。相应步骤在图22所示的工艺流程中示出为步骤208。未单独示出堆叠层58中的子层,而实际上子层可彼此区分。可以使用诸如ALD或CVD的共形沉积方法来实施沉积,从而使得堆叠层58(以及每个子层)的垂直部分的厚度T1和水平部分的厚度T2基本彼此相等。堆叠层58延伸进入沟槽48(图8)中,并且包括位于ILD 46上方的一些部分。
堆叠层58可以包括扩散阻挡层和位于扩散阻挡层上方的一个(或多个)功函层。扩散阻挡层可以由氮化钛(TiN)形成,其可以(或可以不)掺杂硅。功函层确定栅极的功函数,并且包括由不同材料形成的至少一层或多层。根据相应的FinFET是n型FinFET还是p型FinFET来选择功函层的具体材料。例如,当FinFET是n型FinFET时,功函层可以包括TaN层和位于TaN层上方的钛铝(TiAl)层。当FinFET是p型FinFET时,功函层可以包括TaN层、位于TaN层上方的TiN层以及位于TiN层上方的TiAl层。在沉积功函层之后,形成另一阻挡层(可以是另一TiN层)。
接下来,例如,沉积可以由钨或钴形成的金属材料60。金属材料60完全填充剩余的沟槽48(图8)。在如图10所示的后续步骤中,实施诸如CMP或机械研磨的平坦化步骤,从而使得位于ILD 46上方的层56、58和60的部分被去除。相应步骤在图22所示的工艺流程中示出为步骤210。因此,形成金属栅电极62,其中,该金属栅电极62包括层58和60的剩余部分。下文将层52、58和60的剩余部分称为替换堆叠件64。如图10所示,此时金属栅极62、间隔件38/50、CESL 47以及ILD 46的顶面可以基本共面。ILD 46和CESL 47的厚度T3可以在约15nm和约25nm之间的范围内。
在图10中,虚线(标记为64/50)示出为与栅极间隔件50的外边缘对准,以示出栅极间隔件50和替换栅极堆叠件64在所示的半导体鳍24'的顶面之下延伸并且在半导体鳍24'的侧壁上延伸。虚线表示栅极间隔件50和替换栅极堆叠件64的这些部分不在所示的平面中示出。此外,尽管未示出,如图3所示,栅极间隔件38也在半导体鳍24'的侧壁上延伸。
图11至图20示出源极/漏极接触插塞和栅极接触插塞的形成。在所示实例中,示出三个源极/漏极区42,并且所示的工艺仅示出形成连接至最左侧的源极/漏极区的一个源极/漏极接触插塞。在实际工艺中,也可能存在形成为连接至中间源极/漏极区42和最右侧的源极/漏极区42的源极/漏极接触插塞。然而,这些源极/漏极接触插塞形成在与所示平面不同的平面中,并且因此不可见。类似地,可以存在直接形成在左侧栅极堆叠件64上方的栅极接触插塞,其中,该栅极接触插塞位于与所示平面不同的平面中,并且因此未示出。
参考图11,根据本公开的一些实施例,形成介电掩模66。在用于形成栅电极62的平坦化和介电掩模66的形成之间,不实施回蚀刻来凹进栅电极62。介电掩模66可以由具有k值高于3.9的高k介电材料形成。根据本公开的一些实施例,介电掩模66由AlxOy、HfO2、SiN或SiOCN(内部没有孔或基本没有孔)形成。介电掩模66还可以(或者可以不)由与栅极间隔件50相同的材料(例如SiOCN)形成,其中栅极间隔件50具有比介电掩模66更多的孔,以具有低k值。介电掩模66的厚度可以在约2nm和约4nm之间的范围内。形成方法可以包括PECVD、ALD、CVD等。接下来,在介电掩模66上方形成ILD68。ILD68的k值高于栅极间隔件50中的低k介电材料的k值,并且低于后续形成的接触间隔件82(图14)的k值。可以从与用于形成ILD 46的相同的候选材料(以及方法)中选择ILD 68的材料,并且ILD 46和68可以由相同或不同的介电材料形成。例如,介电层68可以使用PECVD来形成,并且可以包括氧化硅(SiO2)。介电层68的厚度T4可以在约
Figure BDA0001484192330000091
和约
Figure BDA0001484192330000092
之间的范围内。
根据本公开的可选实施例,不形成介电掩模66,并且ILD 68与下面的替换栅极堆叠件64、栅极间隔件38/50、CESL 47和ILD 46直接接触。因此,使用虚线示出介电掩模66以表示其可选地形成。在这些实施例中,在用于形成栅电极62的平坦化和ILD68的形成之间,不实施回蚀刻来凹进栅电极62。
然后在ILD 68上方形成金属硬掩模70,其中,该金属硬掩模70在后续蚀刻中用作蚀刻掩模。金属硬掩模70可以由诸如氮化钛的金属氮化物形成。然后在硬掩模层70上方形成可以由氧化硅形成的衬垫氧化物层72。然后施加并且图案化光刻胶74,形成开口76。
然后使用图案化的光刻胶74来蚀刻下面的衬垫氧化物层72和金属硬掩模70,从而使得开口76延伸到金属硬掩模70中。接下来,例如在灰化工艺中去除光刻胶74。然后,如图12所示,剩余的衬垫氧化物层72和金属硬掩模70用作蚀刻掩模以蚀刻ILD68、介电掩模66(如果有)、ILD 46、以及CESL 47,从而形成源极/漏极接触开口78。相应步骤在图22所示的工艺流程中示出为步骤212。在该蚀刻工艺期间,介电掩模66(如果形成)不用作蚀刻停止层。因此,在单个连续的蚀刻工艺中,使用侵蚀全部ILD68、介电掩模66以及ILD 46的蚀刻气体可以实施ILD68、介电掩模66和ILD46的蚀刻。CESL 47可以在层68、66和46的蚀刻中用作蚀刻停止层。然后,例如,使用不同的蚀刻气体来改变蚀刻工艺,并且蚀刻CESL 47的暴露部分,从而暴露下面的源极/漏极区42。
参考图13,例如使用诸如CVD或ALD的共形沉积方法形成介电层80。介电层80可以是具有大于3.9的k值的高k介电层,从而使得其具有良好的隔离能力。候选材料包括AlxOy、HfO2、SiN以及SiOCN(内部没有孔或基本没有孔)。介电层80的厚度可以在约2nm和约4nm之间的范围内。
然后实施各向异性蚀刻,从而使得介电层80的水平部分被去除,并且开口78的侧壁上的剩余的垂直部分形成接触间隔件82,当从晶圆10的顶部观察时,该接触间隔件82形成环。所产生的结构如图14所示。相应步骤在图22所示的工艺流程中示出为步骤214。
根据本公开的可选实施例,不是在该阶段形成接触间隔件82,而是在图16所示的步骤中,接触间隔件82可以与接触间隔件88同时形成。因此,在图14中,接触间隔件82示出为虚线以表示此时接触间隔件82可以形成或可以不形成。
参考图15,施加并图案化光刻胶84以在其中形成开口。接下来,蚀刻ILD68和介电掩模66以使开口向下延伸并形成栅极接触开口86,其中,通过该开口暴露栅电极62。相应步骤在图22所示的工艺流程中示出为步骤216。栅极接触开口86可以足够宽,从而暴露栅极间隔件38/50。栅极接触开口86也可以小于如图所示的开口,并且不暴露栅极间隔件50/38。然后去除光刻胶84。
接下来,如图16所示,根据一些实施例,在开口86的侧壁上形成(栅极)接触间隔件88。根据可选实施例,不形成接触间隔件88。当在前述步骤中已经形成接触间隔件82时,可以不形成接触间隔件88。如果在前述步骤中没有形成接触间隔件82,则在图16所示的步骤中同时形成接触间隔件82和88。接触间隔件88可以由高k介电材料形成,其中,该高k介电材料可以从与用于形成接触间隔件82(和相应的介电层80)相同的候选材料组中选择。因此,接触间隔件88示出为虚线以表示其可以形成或可以不形成,并且接触间隔件82示出为实线以表示其已经形成。根据可选实施例,在形成接触开口78之前形成接触开口86,并且因此形成接触间隔件88,而接触间隔件82可选地形成。
参考图17,例如使用PVD沉积金属层90(例如钛层或钴层)。然后在金属层90上方形成阻挡层92,其中,该阻挡层92可以是诸如氮化钛层或氮化钽层的金属氮化物层。相应步骤在图22所示的工艺流程中示出为步骤218。可以使用CVD形成阻挡层92。层90和92都是共形的,并且延伸进入开口78和86中。
如图18所示,然后实施退火以形成源极硅化物区/漏极硅化物区94。相应步骤在图22所示的工艺流程中示出为步骤220。可以通过快速热退火(RTA)、炉退火等实施退火。因此,金属层90的底部与源极/漏极区42反应以形成硅化物区94。在硅化工艺之后保留金属层90的侧壁部分。根据本公开的一些实施例,硅化物区94的顶面与阻挡层92的底面接触。
接下来,如图19所示,金属材料96沉积在阻挡层92上方并与阻挡层92接触。相应步骤在图22所示的工艺流程中示出为步骤222。金属材料96可以从与含金属材料60相同的候选材料组中选择,并且可以包括钨或钴。然后,实施诸如CMP或机械研磨的平坦化步骤,以去除位于ILD 68上方的层90、92和96的部分。所产生的结构在图20中示出,该结构包括源极/漏极接触插塞98和栅极接触插塞102。
图21示出了蚀刻停止层103、介电层104、以及位于蚀刻停止层103和介电层104中的栅极接触插塞(通孔)106和源极/漏极接触插塞(通孔)108的形成。蚀刻停止层103可以由碳化硅、氮氧化硅、碳氮化硅等形成,并且可以使用诸如CVD的沉积方法形成。介电层104可以包括选自PSG、BSG、BPSG、氟掺杂的硅玻璃(FSG)、TEOS氧化物或PECVD氧化物(SiO2)的材料。可以使用旋涂、FCVD等形成介电层104,或者使用诸如PECVD或低压化学汽相沉积(LPCVD)的沉积方法来形成介电层104。
蚀刻介电层104和蚀刻停止层103以形成开口(由插塞/通孔106和108填充)。例如,可以使用反应离子蚀刻(RIE)来实施蚀刻。在后续步骤中,形成插塞/通孔106和108。根据本公开的一些实施例,插塞/通孔106和108包括阻挡层110和位于阻挡层110上方的含金属材料112。根据本公开的一些实施例,形成插塞/通孔106和108包括蚀刻层103和104以形成接触开口,形成毯式阻挡层并且在毯式阻挡层上方形成含金属材料,并且实施平坦化以去除毯式阻挡层和含金属材料的多余部分。阻挡层110可以由诸如氮化钛或氮化钽的金属氮化物形成。含金属材料112的材料、结构和形成方法可以分别选自含金属材料60的候选材料、候选结构和候选形成方法,因此此处不重复细节。
在所产生的结构中,通过接触插塞和上面的插塞/通孔、金属线(未示出)可以使源极/漏极区42中的源极区电互连,以使源极/漏极区42中的漏极区电互连,并且可以使栅电极64互连,从而所产生的结构形成FinFET100。
本公开的实施例具有若干优势特征。在形成金属栅电极62之后,不回蚀刻金属栅电极,并且在所产生的凹槽中不形成硬掩模。因此,节省用于回蚀刻和形成硬掩模的成本。由于它不需要回蚀刻,因此也减小了金属栅极的高度。因此,减小了用于填充金属栅极的开口的高宽比,并且更容易填充金属栅极。高k接触间隔件82/88和高k介电掩模66的形成改善了金属栅极和相邻源极/漏极接触插塞之间的隔离。低k栅极间隔件的形成改善了金属栅极和源极/漏极区之间的隔离,而不会引起寄生电容的增加。
根据本公开的一些实施例,一种方法包括形成晶体管,其中,形成晶体管包括在伪栅极的一侧上形成源极/漏极区,形成覆盖源极/漏极区的第一ILD,去除伪栅极以在第一ILD中形成沟槽,形成延伸到沟槽中的栅极介电层,在栅极介电层上方形成金属材料,以及实施平坦化以去除栅极介电层和金属材料的多余部分以分别形成栅极电介质和金属栅极。该方法还包括在第一ILD和金属栅极上方形成第二ILD。在形成第二ILD时,金属栅极的顶面与第一ILD的顶面共面。该方法还包括形成电连接至源极/漏极区的源极/漏极接触插塞,其中,源极/漏极接触插塞穿过第一ILD和第二ILD,以及在在金属栅极上方形成与金属栅极接触的栅极接触插塞。
根据本公开的一些实施例,一种方法包括形成晶体管,其中,形成晶体管包括在半导体区上方形成伪栅极堆叠件,并且形成ILD。伪栅极堆叠件位于ILD中,并且ILD覆盖半导体区中的源极/漏极区。该方法还包括去除伪栅极堆叠件以在第一ILD中形成沟槽,在沟槽中形成低k栅极间隔件,形成延伸到沟槽中的替换栅极电介质,形成金属层以填充沟槽,以及实施平坦化以去除替换栅极电介质和金属层的多余部分以分别形成栅极电介质和金属栅极。然后在金属栅极的相对侧上形成源极区和漏极区。
根据本公开的一些实施例,一种器件包括第一ILD,位于第一ILD中的第一栅极间隔件,位于第一栅极间隔件的相对部分之间的开口中的栅极电介质,以及位于栅极电介质上方的金属栅极。金属栅极的顶面、第一栅极间隔件的顶端以及第一ILD的顶面与上方的同一介电层的底面接触。该器件还包括位于第一ILD上方的第二ILD,与金属栅极相邻的源极/漏极区,以及位于源极/漏极区上方并且电连接至该源级/漏极区的源极/漏极接触插塞。源极/漏极接触插塞穿过第一ILD和第二ILD。接触间隔件围绕源极/漏极接触插塞。
根据本发明的一些实施例,提供了一种形成半导体器件的方法,包括:形成晶体管,包括:在伪栅极的侧部上形成源极/漏极区;形成覆盖所述源极/漏极区的第一层间电介质(ILD);去除所述伪栅极以在所述第一层间电介质中形成沟槽;形成延伸到所述沟槽中的栅极介电层;在所述栅极介电层上方形成金属材料;以及实施平坦化以去除所述栅极介电层和所述金属材料的多余部分,以分别形成栅极电介质和金属栅极;在所述第一层间电介质和所述金属栅极上方形成第二层间电介质,其中,在形成所述第二层间电介质时,所述金属栅极的顶面和所述第一层间电介质的顶面与上面的同一介电层的底面接触;形成电连接至所述源极/漏极区的源极/漏极接触插塞,其中,所述源极/漏极接触插塞穿过所述第一层间电介质和所述第二层间电介质;以及在所述金属栅极上方形成与所述金属栅极接触的栅极接触插塞。
在上述方法中,还包括:在形成所述栅极介电层之前,在所述沟槽中形成栅极间隔件。
在上述方法中,形成所述栅极间隔件包括形成低k介电间隔件。
在上述方法中,所述第二层间电介质位于所述第一层间电介质上方并与所述第一层间电介质接触。
在上述方法中,还包括形成与所述金属栅极和所述第一层间电介质接触的介电掩模,其中,所述第二层间电介质位于所述介电掩模上方并与所述介电掩模接触。
在上述方法中,形成所述源极/漏极接触插塞包括:使用相同的蚀刻剂蚀刻所述第二层间电介质、所述介电掩模和所述第一层间电介质以形成源极/漏极接触开口;沉积具有延伸到所述源极/漏极接触开口中的部分的金属层;在所述金属层上方沉积金属氮化物阻挡层;实施退火以使所述金属层与所述源极/漏极区反应并形成硅化物区;以及实施平坦化以去除所述金属层和所述金属氮化物阻挡层的多余部分。
在上述方法中,形成所述源极/漏极接触插塞包括:蚀刻所述第二层间电介质和所述第一层间电介质以形成源极/漏极接触开口;在所述源极/漏极接触开口中形成接触间隔件;以及用金属材料填充所述源极接触开口/漏极接触开口以形成所述源极/漏极接触插塞,其中,所述接触间隔件围绕所述源极/漏极接触插塞。
在上述方法中,形成所述接触间隔件包括形成高k介电间隔件。
在上述方法中,形成所述介电掩模包括形成高k介电层。
根据本发明的另一些实施例,还提供了一种形成半导体器件的方法,包括:形成晶体管,包括:在半导体区上方形成伪栅极堆叠件;形成第一层间电介质(ILD),其中,所述伪栅极堆叠件位于所述第一层间电介质中,并且所述第一层间电介质覆盖所述半导体区中的源极/漏极区;去除所述伪栅极堆叠件以在所述第一层间电介质中形成沟槽;在所述沟槽中形成低k栅极间隔件;形成延伸到所述沟槽中的替换栅极电介质;形成金属层以填充所述沟槽;和实施平坦化以去除所述替换栅极电介质和所述金属层的多余部分,以分别形成栅极电介质和金属栅极;以及形成源极区和漏极区,其中,所述源极区和所述漏极区位于所述金属栅极的相对侧上。
在上述方法中,还包括:在去除所述伪栅极堆叠件之前并且在形成所述第一层间电介质之前,形成与所述伪栅极堆叠件的侧壁接触的额外的栅极间隔件,其中,所述低k栅极间隔件具有与所述额外的栅极间隔件的侧壁接触的侧壁。
在上述方法中,所述低k栅极间隔件包括多孔介电材料。
在上述方法中,还包括:在所述金属栅极、所述低k栅极间隔件和所述第一层间电介质上方形成与所述金属栅极、所述低k栅极间隔件和所述第一层间电介质接触的高k介电掩模;以及在所述高k介电掩模上方形成与所述高k介电掩模接触的第二层间电介质。
在上述方法中,所述低k栅极间隔件和所述高k介电掩模由相同的电介质形成,其中,所述低k栅极间隔件具有比所述高k介电掩模更多的孔。
在上述方法中,还包括:形成穿过所述第一层间电介质的源极/漏极接触插塞和高k接触间隔件,其中,所述高k接触间隔件围绕所述源极/漏极接触插塞。
根据本发明的又一些实施例,还提供了一种半导体器件,包括:第一层间电介质(ILD);第一栅极间隔件,位于所述第一层间电介质中;栅极电介质,位于所述第一栅极间隔件的相对部分之间的开口中;金属栅极,位于所述栅极电介质上方,其中,所述金属栅极的顶面、所述第一栅极间隔件的顶端和第一层间电介质的顶面与同一介电层的底面接触;第二层间电介质,位于所述第一层间电介质上方;源极/漏极区,与所述金属栅极相邻;源极/漏极接触插塞,位于所述源极/漏极区上方并电连接至所述源极/漏极区,其中,所述源极/漏极接触插塞穿过所述第一层间电介质和所述第二层间电介质;以及接触间隔件,围绕所述源极/漏极接触插塞。
在上述半导体器件中,所述接触间隔件由高k介电材料形成。
在上述半导体器件中,所述第二层间电介质与所述第一层间电介质接触,并且所述半导体器件还包括位于所述第二层间电介质中的栅极接触插塞,其中,所述第二层间电介质的底面与所述栅极接触插塞的底面共面。
在上述半导体器件中,还包括高k介电掩模,所述高k介电掩模位于所述第一层间电介质和所述第二层间电介质之间并且与所述第一层间电介质和所述第二层间电介质接触,其中,所述源极/漏极接触插塞进一步穿过所述高k介电掩模。
在上述半导体器件中,还包括第二栅极间隔件,所述第二栅极间隔件位于所述栅极电介质和所述第一栅极间隔件之间并且与所述栅极电介质和所述第一栅极间隔件接触,其中,所述第二栅极间隔件包括低k介电材料。
上面概述了若干实施例的特征,使得本领域技术人员可以更好地理解本公开的各方面。本领域技术人员应该理解,他们可以容易地使用本公开作为基础来设计或修改用于实施与在此所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,在此他们可以做出多种变化、替换以及改变。

Claims (20)

1.一种形成半导体器件的方法,包括:
形成晶体管,包括:
在伪栅极的侧部上形成源极/漏极区;
形成覆盖所述源极/漏极区的第一层间电介质(ILD);
去除所述伪栅极以在所述第一层间电介质中形成沟槽;
形成延伸到所述沟槽中的栅极介电层;
在所述栅极介电层上方形成金属材料;以及
实施平坦化以去除所述栅极介电层和所述金属材料的多余部分,以分别形成栅极电介质和金属栅极;
在所述第一层间电介质和所述金属栅极上方形成第二层间电介质,其中,在形成所述第二层间电介质时,所述金属栅极的顶面和所述第一层间电介质的顶面与上面的同一介电层的底面接触;
形成电连接至所述源极/漏极区的源极/漏极接触插塞,其中,所述源极/漏极接触插塞穿过所述第一层间电介质和所述第二层间电介质,其中,形成所述源极/漏极接触插塞包括:
蚀刻所述第二层间电介质和所述第一层间电介质以形成源极/漏极接触开口;
在所述源极/漏极接触开口中形成接触间隔件,其中,形成所述接触间隔件包括形成高k介电间隔件;以及
用金属材料填充所述源极接触开口/漏极接触开口以形成所述源极/漏极接触插塞,其中,所述接触间隔件围绕所述源极/漏极接触插塞;以及
在所述金属栅极上方形成与所述金属栅极接触的栅极接触插塞。
2.根据权利要求1所述的方法,还包括:在形成所述栅极介电层之前,在所述沟槽中形成栅极间隔件。
3.根据权利要求2所述的方法,其中,形成所述栅极间隔件包括形成低k介电间隔件。
4.根据权利要求1所述的方法,其中,所述第二层间电介质位于所述第一层间电介质上方并与所述第一层间电介质接触。
5.根据权利要求1所述的方法,还包括形成与所述金属栅极和所述第一层间电介质接触的介电掩模,其中,所述第二层间电介质位于所述介电掩模上方并与所述介电掩模接触。
6.根据权利要求5所述的方法,其中,形成所述源极/漏极接触插塞包括:
使用相同的蚀刻剂蚀刻所述第二层间电介质、所述介电掩模和所述第一层间电介质以形成源极/漏极接触开口;
沉积具有延伸到所述源极/漏极接触开口中的部分的金属层;
在所述金属层上方沉积金属氮化物阻挡层;
实施退火以使所述金属层与所述源极/漏极区反应并形成硅化物区;以及
实施平坦化以去除所述金属层和所述金属氮化物阻挡层的多余部分。
7.根据权利要求3所述的方法,其中,所述低k介电间隔件包括多孔介电材料。
8.根据权利要求7所述的方法,其中,还包括形成与所述金属栅极和所述第一层间电介质接触的高k介电掩模,所述低k介电间隔件和所述高k介电掩模由相同的电介质形成,其中,所述低k介电间隔件具有比所述高k介电掩模更多的孔。
9.根据权利要求5所述的方法,其中,形成所述介电掩模包括形成高k介电层。
10.一种形成半导体器件的方法,包括:
形成晶体管,包括:
在半导体区上方形成伪栅极堆叠件;
形成第一层间电介质(ILD),其中,所述伪栅极堆叠件位于所述第一层间电介质中,并且所述第一层间电介质覆盖所述半导体区中的源极/漏极区;
去除所述伪栅极堆叠件以在所述第一层间电介质中形成沟槽;
在所述沟槽中形成低k栅极间隔件;
形成延伸到所述沟槽中的替换栅极电介质;
形成金属层以填充所述沟槽;和
实施平坦化以去除所述替换栅极电介质和所述金属层的多余部分,以分别形成栅极电介质和金属栅极;以及
形成源极区和漏极区,其中,所述源极区和所述漏极区位于所述金属栅极的相对侧上;
蚀刻所述第一层间电介质以形成源极/漏极接触开口;
在所述源极/漏极接触开口中形成高k介电接触间隔件;以及
用金属材料填充所述源极/漏极接触开口以形成所述源极/漏极接触插塞,其中,所述高k介电接触间隔件围绕所述源极/漏极接触插塞。
11.根据权利要求10所述的方法,还包括:在去除所述伪栅极堆叠件之前并且在形成所述第一层间电介质之前,形成与所述伪栅极堆叠件的侧壁接触的额外的栅极间隔件,其中,所述低k栅极间隔件具有与所述额外的栅极间隔件的侧壁接触的侧壁。
12.根据权利要求10所述的方法,其中,所述低k栅极间隔件包括多孔介电材料。
13.根据权利要求10所述的方法,还包括:
在所述金属栅极、所述低k栅极间隔件和所述第一层间电介质上方形成与所述金属栅极、所述低k栅极间隔件和所述第一层间电介质接触的高k介电掩模;以及
在所述高k介电掩模上方形成与所述高k介电掩模接触的第二层间电介质。
14.根据权利要求13所述的方法,其中,所述低k栅极间隔件和所述高k介电掩模由相同的电介质形成,其中,所述低k栅极间隔件具有比所述高k介电掩模更多的孔。
15.根据权利要求10所述的方法,还包括:
在所述形成源极区和漏极区上方形成硅化物区。
16.一种半导体器件,包括:
第一层间电介质(ILD);
第一栅极间隔件,位于所述第一层间电介质中;
栅极电介质,位于所述第一栅极间隔件的相对部分之间的开口中;
金属栅极,位于所述栅极电介质上方,其中,所述金属栅极的顶面、所述第一栅极间隔件的顶端和第一层间电介质的顶面与同一介电层的底面接触;
第二层间电介质,位于所述第一层间电介质上方;
源极/漏极区,与所述金属栅极相邻;
源极/漏极接触插塞,位于所述源极/漏极区上方并电连接至所述源极/漏极区,其中,所述源极/漏极接触插塞穿过所述第一层间电介质和所述第二层间电介质;以及
接触间隔件,围绕所述源极/漏极接触插塞,所述接触间隔件由高k介电材料形成;
源极/漏极硅化物区,位于所述源极/漏极区的顶部上,其中,所述高k介电材料的所述接触间隔件延伸得比所述源极/漏极硅化物区的顶面低。
17.根据权利要求16所述的半导体器件,其中,所述接触间隔件的第一侧壁与源极/漏极硅化物区的第二侧壁形成竖直延伸的界面。
18.根据权利要求16所述的半导体器件,其中,所述第二层间电介质与所述第一层间电介质接触,并且所述半导体器件还包括位于所述第二层间电介质中的栅极接触插塞,其中,所述第二层间电介质的底面与所述栅极接触插塞的底面共面。
19.根据权利要求16所述的半导体器件,还包括高k介电掩模,所述高k介电掩模位于所述第一层间电介质和所述第二层间电介质之间并且与所述第一层间电介质和所述第二层间电介质接触,其中,所述源极/漏极接触插塞进一步穿过所述高k介电掩模。
20.根据权利要求16所述的半导体器件,还包括第二栅极间隔件,所述第二栅极间隔件位于所述栅极电介质和所述第一栅极间隔件之间并且与所述栅极电介质和所述第一栅极间隔件接触,其中,所述第二栅极间隔件包括低k介电材料。
CN201711208758.4A 2017-01-09 2017-11-27 接触插塞及其制造方法 Active CN108288604B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762443885P 2017-01-09 2017-01-09
US62/443,885 2017-01-09
US15/610,981 2017-06-01
US15/610,981 US10516030B2 (en) 2017-01-09 2017-06-01 Contact plugs and methods forming same

Publications (2)

Publication Number Publication Date
CN108288604A CN108288604A (zh) 2018-07-17
CN108288604B true CN108288604B (zh) 2021-05-07

Family

ID=62783478

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711208758.4A Active CN108288604B (zh) 2017-01-09 2017-11-27 接触插塞及其制造方法

Country Status (4)

Country Link
US (3) US10516030B2 (zh)
KR (1) KR101971349B1 (zh)
CN (1) CN108288604B (zh)
TW (1) TWI689043B (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10510598B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US10269621B2 (en) 2017-04-18 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods forming same
CN109545784A (zh) * 2017-09-22 2019-03-29 联华电子股份有限公司 半导体元件及其制作方法
US10490458B2 (en) * 2017-09-29 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of cutting metal gates and structures formed thereof
US11107902B2 (en) 2018-06-25 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric spacer to prevent contacting shorting
US10998421B2 (en) * 2018-07-16 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing pattern loading in the etch-back of metal gate
US11069793B2 (en) * 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing parasitic capacitance for gate-all-around device by forming extra inner spacers
US10872818B2 (en) * 2018-10-26 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Buried power rail and method forming same
US10868130B2 (en) 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11004958B2 (en) * 2018-10-31 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11443982B2 (en) * 2018-11-08 2022-09-13 International Business Machines Corporation Formation of trench silicide source or drain contacts without gate damage
US10755918B2 (en) * 2018-11-16 2020-08-25 GlobalFoundries, Inc. Spacer with laminate liner
US11335786B2 (en) * 2019-02-01 2022-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure in high-κ metal gate technology
JP7232081B2 (ja) * 2019-03-01 2023-03-02 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US11024536B2 (en) * 2019-04-18 2021-06-01 International Business Machines Corporation Contact interlayer dielectric replacement with improved SAC cap retention
CN110310921B (zh) * 2019-07-09 2021-10-01 京东方科技集团股份有限公司 一种显示基板及其制作方法、显示面板及显示装置
US11342225B2 (en) 2019-07-31 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier-free approach for forming contact plugs
KR20210024384A (ko) 2019-08-23 2021-03-05 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11302814B2 (en) 2020-01-23 2022-04-12 Nanya Technology Corp. Semiconductor device with porous dielectric structure and method for fabricating the same
US11244901B2 (en) * 2020-02-12 2022-02-08 Nanya Technology Corporation Semiconductor device with graded porous dielectric structure
US11145550B2 (en) * 2020-03-05 2021-10-12 International Business Machines Corporation Dummy fin template to form a self-aligned metal contact for output of vertical transport field effect transistor
US11682711B2 (en) * 2020-05-28 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having multi-layered gate spacers
DE102021108598A1 (de) * 2020-05-29 2021-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Heterostruktur-oxidhalbleitertransistor mit vertikalem gate-all-around (vgaa) und verfahren zu dessen herstellung
US11581366B2 (en) * 2020-06-22 2023-02-14 Taiwan Semiconductor Manufacturing Company Limited Memory cell device with thin-film transistor selector and methods for forming the same
US11682675B2 (en) * 2021-03-30 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device and method

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5882983A (en) 1997-12-19 1999-03-16 Advanced Micro Devices, Inc. Trench isolation structure partially bound between a pair of low K dielectric structures
KR100579845B1 (ko) 2003-10-23 2006-05-12 동부일렉트로닉스 주식회사 이중 스페이서를 갖는 반도체 소자 및 이의 제조 방법
JP2008198935A (ja) 2007-02-15 2008-08-28 Sony Corp 絶縁ゲート電界効果トランジスタの製造方法。
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9099492B2 (en) * 2012-03-26 2015-08-04 Globalfoundries Inc. Methods of forming replacement gate structures with a recessed channel
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8624324B1 (en) * 2012-08-10 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Connecting through vias to devices
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
KR102065973B1 (ko) * 2013-07-12 2020-01-15 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9209272B2 (en) 2013-09-11 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation and etching post metal gate CMP
KR102167625B1 (ko) * 2013-10-24 2020-10-19 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9530736B2 (en) * 2014-02-14 2016-12-27 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
CN105206561B (zh) * 2014-05-28 2018-08-10 中芯国际集成电路制造(上海)有限公司 互连结构的形成方法和半导体结构
US9431296B2 (en) * 2014-06-26 2016-08-30 International Business Machines Corporation Structure and method to form liner silicide with improved contact resistance and reliablity
US9431244B2 (en) 2014-09-24 2016-08-30 Qualcomm Mems Technologies, Inc. Laser annealing technique for metal oxide TFT
US9601430B2 (en) 2014-10-02 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
KR102235578B1 (ko) 2014-11-19 2021-04-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102291062B1 (ko) 2015-06-18 2021-08-17 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10043903B2 (en) * 2015-12-21 2018-08-07 Samsung Electronics Co., Ltd. Semiconductor devices with source/drain stress liner
CN106960875B (zh) 2016-01-12 2020-06-16 中芯国际集成电路制造(北京)有限公司 半导体装置及其制造方法

Also Published As

Publication number Publication date
KR20180082297A (ko) 2018-07-18
US20180197970A1 (en) 2018-07-12
TW201839910A (zh) 2018-11-01
US10930752B2 (en) 2021-02-23
US11862708B2 (en) 2024-01-02
TWI689043B (zh) 2020-03-21
CN108288604A (zh) 2018-07-17
US20210202713A1 (en) 2021-07-01
US10516030B2 (en) 2019-12-24
US20190103473A1 (en) 2019-04-04
KR101971349B1 (ko) 2019-04-22

Similar Documents

Publication Publication Date Title
CN108288604B (zh) 接触插塞及其制造方法
KR101967541B1 (ko) 접촉 플러그 및 이를 형성하는 방법
US11532504B2 (en) Low-resistance contact plugs and method forming same
CN108807160B (zh) 半导体器件及其形成方法
US11004855B2 (en) Buried metal track and methods forming same
CN108231588B (zh) 晶体管及其形成方法
CN113793834B (zh) 半导体器件及其形成方法
US20240072155A1 (en) Contact plugs and methods forming same
US11615982B2 (en) Reducing spacing between conductive features through implantation
US20230114191A1 (en) Forming Seams with Desirable Dimensions in Isolation Regions
TW202238922A (zh) 積體電路裝置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant