CN107799451A - 半导体加工中控制曲度以控制叠对的位置特定的应力调节 - Google Patents

半导体加工中控制曲度以控制叠对的位置特定的应力调节 Download PDF

Info

Publication number
CN107799451A
CN107799451A CN201710791991.3A CN201710791991A CN107799451A CN 107799451 A CN107799451 A CN 107799451A CN 201710791991 A CN201710791991 A CN 201710791991A CN 107799451 A CN107799451 A CN 107799451A
Authority
CN
China
Prior art keywords
substrate
overlay
curvature
module
internal stress
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710791991.3A
Other languages
English (en)
Other versions
CN107799451B (zh
Inventor
安东·德维利耶
丹尼尔·富尔福德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN107799451A publication Critical patent/CN107799451A/zh
Application granted granted Critical
Publication of CN107799451B publication Critical patent/CN107799451B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/32Additional lead-in metallisation on a device or substrate, e.g. additional pads or pad portions, lines in the scribe line, sacrificed conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70783Handling stress or warp of chucks, masks or workpieces, e.g. to compensate for imaging errors or considerations related to warpage of masks or workpieces due to their own weight
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • G06F30/23Design optimisation, verification or simulation using finite element methods [FEM] or finite difference methods [FDM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices With Unspecified Measuring Means (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Saccharide Compounds (AREA)
  • Investigating Or Analyzing Materials By The Use Of Fluid Adsorption Or Reactions (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

本公开提供了半导体加工中控制曲度以控制叠对的位置特定的应力调节。本公开的技术包括通过校正或调整晶片的弯曲来校正图案叠对误差的系统和方法。特定于位置的对半导体衬底上的应力的调整减小了叠对误差。特定于位置的应力调整独立地修改衬底上的特定区、区域或点位置以改变在那些特定位置处的晶片曲度,这降低了衬底上的叠对误差,转而改进了在衬底上创建的后续图案的叠对。本公开的技术包括:接收具有一定量的叠对误差的衬底;测量衬底的曲度以映射跨衬底的z高度偏差;生成叠对校正图案;以及通过独立于其他坐标位置的修改在特定位置处物理地修改衬底上的内应力。这样的修改可以包括蚀刻衬底的背面表面。一个或多个加工模块可用于这种加工。

Description

半导体加工中控制曲度以控制叠对的位置特定的应力调节
相关申请的交叉引用
本申请要求于2016年9月5日提交的名称为“Method for Correcting Wafer Bow”的美国临时专利申请第62/383,549号的权益,该申请的全部内容通过引用并入本文。
技术领域
本公开内容涉及半导体制造,具体地涉及晶片叠对(overlay)。
背景技术
半导体制造涉及多个不同的步骤和工艺。一种典型的制造工艺称为光刻(也称为微光刻)。光刻使用诸如紫外线或可见光的辐射在半导体器件设计中生成精细图案。可以使用包括光刻、蚀刻、膜沉积、表面清洁、金属化等的半导体制造技术来构造许多类型的半导体器件,例如二极管、晶体管和集成电路。
曝光系统(也称为工具)用于实现光刻技术。曝光系统通常包括:照明系统、用于产生电路图案的掩模板(也称为光掩模)或空间光调制器(SLM)、投射系统以及用于对准光敏抗蚀剂涂覆的半导体晶片的晶片对准台。照明系统利用(优选为)矩形槽照明场对掩模板或SLM的区域进行照明。投射系统将掩模板图案的照明区域的图像投射到晶片上。为了准确地投射,重要的是在相对平坦或平面的、优选地具有小于10微米的高度偏差的晶片上对光图案进行曝光。
发明内容
随着半导体器件制造技术的进步,对用于制造半导体器件的光刻系统和涂覆机/显影机的需求日益增加。这包括对衬底对准准确度的越来越高的要求。衬底通常安装在也被称为晶片台的卡盘上。在曝光期间,暴露在衬底上的特征需要与衬底上的现有特征叠对。换句话说,图案B需要与图案A对准。后续层的对准被称为叠对。叠对中的误差意味着层相对于下方(或上方)层的偏移。为了实现期望的叠对性能,在曝光之前将衬底对准衬底台。然而,在对准之后,衬底相对于衬底台的任何移动都会导致叠对误差。已经存在例如通过使用散射仪来测量叠对误差的传统工具。
各种制造工艺步骤(材料沉积、蚀刻、固化等)可以造成衬底的膨胀和/或收缩,导致得到翘曲或弯曲的衬底。例如,在光化辐射曝光期间,由于从曝光光束转移到衬底的能量,衬底被局部加热。衬底在退火过程中也被加热。这种加热导致衬底膨胀。如果未检查衬底膨胀,则膨胀超过叠对误差公差。此外,如果衬底和衬底卡盘之间的夹持力不足以防止衬底膨胀,则衬底会在衬底卡盘上滑动,并且将发生较大的衬底膨胀,导致较大的叠对误差。因为在曝光期间衬底周围的环境是真空,因此在一些工艺中,例如在极紫外(“EUV”)系统中,滑动可能更显著。因此,并不总是可以真空夹紧,并且必须使用较弱的静电夹紧来代替真空夹紧。
其他制造步骤也可以造成衬底膨胀和收缩。例如,沉积的膜可以引起衬底收缩。此外,各种退火和掺杂步骤可以在给定的衬底中产生大量的曲度。退火步骤尤其可以产生叠对挑战。这些各种制造步骤的结果是不平坦或非平面的衬底。例如,衬底的背面可以具有既有高点又有低点的z高度差(竖直高度或垂直于衬底表面的距离的差)。由于这种弯曲引起的高度差可以为约1微米至约500微米或更大的数量级。因为通过各种曝光工具曝光的半导体器件或结构以几十纳米到几百纳米的规模被曝光,所以这种波动显著。因此,具有数千纳米至10,000纳米的偏转变化会显著降低产量,这是因为难以正确对准两个图案。
用于解决部分加工的衬底上的衬底弯曲和不均匀曲率的常规技术集中在用于将衬底卡持(或夹持或吸持)到衬底保持器以使曲率平坦化的卡持技术。然而,在这样显著的弯曲的情况下,通过仅卡持衬底来使衬底精确地平坦化是非常困难或不可能的。因此,期望具有衬底叠对校正技术,以在发送或返回到扫描仪/步进器以进行额外曝光之前改进和/或校正叠对。
本文的技术包括用于校正晶片叠对的系统。计量模块被配置成测量衬底的曲度并生成曲度测量结果,该曲度测量结果映射衬底的相对于一个或更多个参考z高度值的z高度偏差。这样的衬底具有工作表面和与工作表面相反的背面表面。衬底具有由已被执行以在衬底的工作表面上产生半导体器件的至少一部分的一个或更多个微制造加工步骤产生的初始叠对误差。控制器被配置成接收曲度测量结果,并且基于曲度测量结果生成叠对校正图案。叠对校正图案基于曲度测量结果来定义对衬底上特定位置处的内应力的调整。在该叠对校正图案中,衬底上的第一给定位置具有与叠对校正图案中衬底上的第二给定位置相比不同的定义的内应力调整。加工模块具有衬底保持器和衬底处理部件,衬底处理部件被配置成根据叠对校正图案在衬底上的特定位置处物理地修改衬底上的内应力,从而得到衬底的修改的曲度。具有现在修改的曲度的衬底具有第二叠对误差,与初始叠对误差相比,该第二叠对误差是减小的叠对误差。
本文的技术包括用于校正晶片叠对的系统。这样的系统可以包括若干部件。计量模块被配置成测量衬底的曲度,其产生曲度测量结果,曲度测量结果映射衬底的相对于一个或更多个参考z高度值的z高度偏差。涂覆模块被配置成用辐射敏感材料涂覆晶片的背面表面。控制器被配置成产生叠对校正图案,其基于衬底的曲度测量结果来定义对衬底上的特定位置处的内应力的调整,使得在叠对校正图案中衬底上的第一给定位置具有与衬底上的第二给定位置相比不同的定义的内应力调整。成像模块被配置成基于叠对校正图案将背面表面暴露于光化辐射的图案。显影模块被配置成在暴露于光化辐射的图案之后使辐射敏感材料显影,以导致辐射敏感材料在衬底的背面表面上形成浮雕图案。蚀刻模块被配置成使用该浮雕图案作为蚀刻掩模来蚀刻衬底的背面表面,从而减小衬底的叠对误差。在一些实施方式中,所有这些模块都在公共平台上,其中自动衬底处理系统可以自动地在模块之间传送衬底。因此,创建一体化工具来修复叠对误差。例如,可以将具有叠对误差的晶片加载到该工具中,然后系统测量、计算校正、进行校正,然后返回具有校正的或减小的叠对误差的晶片。
本文的技术包括用于校正晶片叠对的方法。在该方法的一个实施方式中,接收具有工作表面并具有与工作表面相反的背面表面的衬底。衬底具有由已被执行以在衬底的工作表面上产生半导体器件的至少一部分的一个或更多个微制造加工步骤产生的初始叠对误差。接收或取得衬底的初始曲度测量结果,该曲度测量结果映射衬底上的相对于一个或更多个参考z高度值的z高度偏差。生成叠对校正图案,其基于衬底的初始曲度测量结果来定义对衬底上特定位置处的内应力的调整。在该叠对校正图案中,衬底上的第一给定位置具有与叠对校正图案中衬底上的第二给定位置相比不同的定义的内应力调整。然后,根据叠对校正图案在衬底上的特定位置修改衬底上的内应力,从而得到衬底的修改的曲度。具有修改的曲度的衬底具有第二叠对误差。与初始叠对误差相比,第二叠对误差具有减小的叠对误差。
本文的技术包括用于校正晶片叠对的方法。在该方法的一个实施方式中,接收具有工作表面和与工作表面相反的背面表面的衬底。衬底具有由已被执行以在衬底的工作表面上产生半导体器件的至少一部分的一个或更多个微制造加工步骤产生的初始叠对误差。测量衬底的曲度,从而产生衬底的曲度测量结果,该曲度测量结果映射衬底的相对于一个或更多个参考z高度值的z高度偏差。生成叠对校正图案,其基于衬底的曲度测量结果来定义对衬底上特定位置处的内应力的调整。在该叠对校正图案中,衬底上的第一给定位置具有与衬底上的第二给定位置相比不同的定义的内应力调整。衬底的背面表面涂覆有一层光致抗蚀剂。基于叠对校正图案,使用光化辐射的图案对衬底的背面表面上的光致抗蚀剂层进行成像。对光致抗蚀剂层进行显影以去除光致抗蚀剂层的可溶部分,从而产生露出背面表面部分的光致抗蚀剂的浮雕图案。使用该浮雕图案作为蚀刻掩模来蚀刻背面表面的露出部分。该蚀刻导致衬底的修改的曲度。具有修改的曲度的衬底具有第二叠对误差。与初始叠对误差相比,第二叠对误差具有减小的叠对误差。
当然,为了清楚起见,提出了如本文所述的不同步骤的讨论顺序。通常,这些步骤可以以任何合适的顺序进行。另外,尽管本文的不同特征、技术、配置等中的每一个可以在本公开内容的不同位置进行讨论,但意图是可以彼此独立地或彼此组合地执行每个构思。因此,本发明可以以许多不同的方式实施和查看。
注意,该发明内容部分没有指定本公开内容或所要求保护的发明的每个实施方式和/或递增新颖的方面。相反,本发明内容仅提供了对不同实施方式和与常规技术相比的对应新颖点的初步讨论。对于本发明和实施方式的附加细节和/或可能的视点,读者将参考本公开内容的下面进一步讨论的具体实施方式部分和相应附图。
附图说明
参考结合附图考虑的以下详细描述,对本发明的各种实施方式的更完整的了解及其许多伴随的优点将变得明显。附图不一定按比例绘制,而是将重点放在说明特征、原理和概念上。
图1是根据本文实施方式的叠对校正系统的平面图。
图2是根据本文实施方式的叠对校正系统的立体图。
图3是用于测量和/或检查的模块的侧面横截面示意图。
图4是用于涂覆、显影和/或清洁的模块的侧面横截面示意图。
图5是根据本文公开的实施方式的用于沉积的模块的侧面横截面示意图。
图6是根据本文公开的实施方式的曝光模块的侧面横截面示意图。
图7是示出晶片的侧面剖视图。
图8是示出晶片弯曲的侧面剖视图。
图9是示出晶片弯曲的侧面剖视图。
图10是描绘来自衬底上的沉积材料的曲度响应的图。
图11是示出根据本文公开的实施方式的工艺流程的示例衬底区段的横截面示意图。
图12是示出根据本文公开的实施方式的工艺流程的示例衬底区段的横截面示意图。
图13是示出根据本文公开的实施方式的工艺流程的示例衬底区段的横截面示意图。
图14是示出根据本文公开的实施方式的工艺流程的示例衬底区段的横截面示意图。
图15是根据本文公开的实施方式的具有叠对误差的晶片曲度测量结果的表示。
图16是根据本文公开的实施方式的叠对校正图案的表示。
图17是根据本文公开的实施方式的具有减小的叠对误差的晶片曲度测量结果的表示。
具体实施方式
本文的技术包括通过校正或调整半导体衬底(晶片)的弯曲来校正图案叠对误差的系统和方法。本文的技术包括用于在晶片上进行特定于位置的应力调整以减少叠对误差的系统和方法。特定于位置的应力调整独立地修改衬底上的特定区域、范围或点位置,以在这些特定位置处改变晶片曲度。具有差异控制的这种空间应力调整减小了衬底上的叠对误差,这进而改善了在衬底上产生的后续图案的叠对。本文的技术包括:接收具有一定量的叠对误差的衬底,测量衬底的曲度以映射跨衬底的z高度偏差,产生叠对校正图案,然后在特定位置处物理地修改衬底上的内应力,其中,这些修改及强度与其他位置无关。这样的修改可以包括蚀刻衬底的背面表面。一个或更多个加工模块可以用于这样的处理。该位置选择性蚀刻导致具有修改的使叠对误差减小的曲度的衬底。
这样的技术可以包括背面加工。通常,晶片具有工作表面和背面表面。工作表面是制造半导体器件例如晶体管、二极管、栅极、布线等的表面。背面表面通常与工作表面相对,并且是通常被夹紧或夹持至衬底保持器的表面。本文的技术包括调整衬底的工作表面上的应力,但是这种工作表面调整常受到制造阶段的限制。本文的技术还包括用于修改或调整内应力的背面表面加工。
半导体晶片的微加工开始于诸如图7所示的平坦晶片。在半导体晶片的微加工期间,执行多个加工步骤,其可以包括在衬底上沉积材料、去除材料、注入掺杂剂、退火、烘烤等。不同的材料和结构形态可能导致衬底中的内应力,这导致晶片弯曲,进而影响叠对并且通常导致各种大小的叠对误差。图8示出了具有测量A的一阶弯曲,其示出了与参考平面的z高度偏差。图9示出了具有测量B和测量C的衬底的二阶弯曲,其识别正z高度偏差和负z高度偏差。由于创建电路的常规加工是单面的(在工作表面上制造),因此能快速显影弯曲。相等和相反大小的应力可以相互抵消。因此,本文中的一种技术是在衬底的背面表面上制造与针对工作表面制造的结构相同的结构(功能或虚拟结构)。然而,这种双面微加工可能具有挑战性,因为希望避免将工作表面放置在衬底保持器或卡盘上,因为这可能在金属化或包装之前破坏易碎结构。因此,简单地翻转晶片来制造背面上的缓解图案不是优选的。
然而,本文中的技术包括添加膜的背面加工技术,其可以增大应力,然后选择性地松弛这些膜上/中的位置以调整内应力从而调整曲度偏差。添加的层或膜可以选择性地向衬底添加拉伸或压缩应力。例如,图10示出了被添加到衬底的拉伸氮化硅的曲线图。随着沉积的SiN的厚度增大,衬底上的正曲度(z-高度偏差)也增大。随着SiN的厚度减小,正曲度偏差也减小。当沉积具有压缩应力的膜时,会发生类似但镜像的结果。随着压缩膜的厚度增大,负曲度增大。同样,在这些位置处去除这样的压缩膜减小了在这些位置的负弯曲。
背面加工的技术可以包括多个步骤。例如,作为初步步骤,可以剥离或清洁背面表面。然后,可以执行测量给定晶片的曲度的计量步骤。这种曲度测量可用于目标背面加工。晶片可以涂覆有一种或更多种材料。如果需要,可以使用烘烤步骤来设置这样的涂层。然后将背面表面暴露于光化辐射的图案。光化辐射的图案可以是基于曲度测量或曲度标记的叠对校正图案。例如,可以将更多或更少的辐射暴露于晶片背面上的空间位置,例如通过使用直写式投射装置。这也可以被认为是将涂层暴露于校正的晶片曲度图像。然后在图案化曝光后,将晶片的背面显影并剥离以去除可溶部分。所得到的浮雕图案可以用作蚀刻一个或更多个下层的蚀刻掩模,其松弛内应力(压缩或拉伸),这进而修改衬底的z高度偏差。结果是使叠对误差减小的经修改的曲度。
一个或更多个工具和/或模块可用于本文的技术。例如,单个工具可以包括涂覆器/显影器模块、烘烤模块、计量模块和成像模块,所有这些都在共同平台上。替选实施方式可以使用单独的工具/系统,但是可能需要在工具之间进行手动晶片移植。共同平台的好处是使效率得以提高。
本文的晶片曲度校正系统接收具有一定量的叠对误差和曲度的衬底的输入。系统测量衬底以映射曲度偏差,产生叠对校正或曲度校正图案,然后对背面进行处理,以通过衬底上的坐标位置来选择性地松弛/增大应力。然后,以相对减小的叠对误差或减小的晶片曲度返回衬底。处理步骤可以包括:背面涂覆和烘烤、成像、背面湿蚀刻显影以及一个或更多个涂层的等离子体剥离。本文的基于平台的解决方案是机械地校正曲度。技术可以被实施为:在给定制造流程中使用离线工具,以完全退出曲度标记。换言之,不需要输入来自先前制造技术的晶片数据。系统可以被实施为:使计量设备和加工设备都处在共同的平台上。本文的技术可以提供5微米分辨率的背面湿蚀刻,足以在纳米尺度上校正叠对误差。
图1是用于校正衬底叠对的系统的平面图。通常,系统100包括各种晶片处理组件或载体以及若干模块。载体块110包括用于接收晶片载体112的级111。晶片载体112可以容纳若干半导体晶片。门114可以打开以访问晶片载体中的若干衬底。传送臂116可将衬底从晶片载体112传送到处理块120中的搁板单元121。传送臂123可以定位成与搁板单元121相邻并能够前后以及垂直移动。然后,传送臂125可以从搁板单元121或传送臂123访问衬底,以在模块131-139之间移动。
根据期望的实施方式,系统100可以包括各个不同的模块。可选地,具有背面加工模块或背面加工模块和计量模块。然而,单独的系统可以被用于计量,并且可以测量给定的衬底并且向系统100提供曲度测量。在其他实施方式中,计量模块被包括在系统100内。例如,计量模块131可以被配置成测量衬底的曲度并产生曲度测量结果。这种曲度测量结果相对于一个或更多个参考z高度值来映射衬底上的z高度偏差。换句话说,例如使用坐标位置在空间上映射z-高度偏差,以识别穿过衬底表面的z高度偏差。取决于所使用的计量设备的类型和/或所需的分辨率,可以以各种分辨率映射Z高度偏差。曲度测量可以包括原始曲度数据,或者表示为具有相对值的曲度标记。注意,在许多实施方式中,参考z高度值可以全部接近于零,因此表示接近平坦的晶片。例如,用于本文中的叠对改进的接近于平坦或被认为是平坦的晶片可以是平均偏差小于10微米的晶片。在其他实施方式中,参考z高度值可以表示一些非平面形状,但是尽管如此,所述非平面形状对叠对误差校正而言是有用的,特别地用于微制造的特定阶段。本文的技术能够校正大于10微米但小于500微米的弯曲。计量模块被配置成对具有工作表面和具有与工作表面相对的背面表面的衬底进行测量。衬底具有由一个或更多个微制造加工步骤产生的初始叠对误差,所述微制造加工步骤被执行以在衬底的工作表面上产生半导体器件的至少一部分。例如,可以完成或仅部分完成晶体管栅极。
图3是例如用作计量模块131的用于衬底测量或检查的模块的横截面示意图。使用周边支撑件151来保持衬底105。衬底105包括工作表面106和背面表面107。注意,可以对工作表面或背面表面进行测量。在该图示中,背面表面107被设置用于测量。测量臂154可以包括传感器156以测量穿过背面表面的z高度偏差。有几种可用于测量z高度偏差的机构,包括光学机构、声学机构和其他机构。当测量臂154移动时,衬底105可以是静止的。替选地,衬底105可以旋转。测量臂154可以具有与背面表面107接触的传感器156,这取决于所选择的测量机构的类型。
返回至图1,控制器140连接至系统100。控制器140可以是位于系统100内或位于远端但与系统100的部件通信的计算机处理器。控制器140被配置成接收曲度测量并且基于曲度测量来生成叠对校正图案。可以从计量模块131或从单独的系统接收曲度测量。叠对校正图案基于曲度测量来定义对衬底上的特定位置处的内应力的调整。除了曲度测量之外,叠对校正图案还可以基于衬底的工作表面的器件参数。例如,与构造用于逻辑的finFET(鳍式场效应晶体管)器件的早期阶段相比,相对较深的存储器阵列可能需要更多的应力修改。可以使用各种计算方法中的任何一种来生成或计算叠对校正图案,所述各种计算方法例如是平面内偏差、与参考平面的z高度偏差、对感兴趣位置的多阶导数分析、Zernike(泽尔尼克)多项式分析、像素化基函数优化或球贝塞尔(Bessel)函数。
与叠对校正图案中的衬底上的第二给定位置相比较,在该叠对校正图案中,衬底上的第一给定位置具有不同的定义的内应力调整。换言之,要进行的应力校正特定于衬底表面上的位置。该位置可以是衬底的点位置、范围或区域。例如,叠对校正图案可以定义内应力调整,以使得导致平坦的衬底(无弯曲)或一些选择的弯曲,这对后续的图案化处理是有利的。这种内应力调整可以基于沉积在衬底的背面表面上的膜的类型和厚度。
该系统可以包括具有衬底保持器和衬底处理部件的一个或更多个加工模块,所述一个或更多个加工模块被配置成根据叠对校正图案在衬底上的特定位置物理地修改衬底上的内应力,从而导致衬底的修改的曲度。具有修改的曲度的衬底具有第二叠对误差。与初始叠对误差相比,第二叠对误差减小了叠对误差。加工模块可以被配置成不同地修改内应力,因为加工模块被配置成独立地修改衬底上的不同位置,使得不同位置的至少一部分彼此不同地被修改。虽然可以进行总的或均匀/对称的内应力校正,但是本文的加工模块可以被配置成通过衬底上的坐标位置来修改应力。
加工模块可以被配置成增大或减小衬底上的位置处的内应力,以及修改工作表面或背面表面上的内应力。加工模块可以被配置成在工作表面面朝上(远离地球的重力拉力)的情况下保持衬底,同时物理地修改衬底的背面表面上的内应力。计量模块和加工模块可以在具有自动衬底处理系统的公共平台上,该自动衬底处理系统将衬底从计量模块自动移动到加工模块。
加工模块可以被配置成通过在衬底的背面表面上特定于位置地添加材料来修改衬底上的内应力,因为与第二给定位置相比,衬底上的第一给定位置可以添加更多的材料。例如,背面沉积系统可以使用化学气相沉积,同时将光或热的图案投射到背面表面上。因为化学气相沉积可以取决于表面温度,因此可以基于投射到背面表面上的光或热图案来沉积更多或更少的材料。因此,通过坐标位置来沉积不同量的材料。当完成这样的差异沉积工艺时,衬底具有经修改的曲度,其校正或减小了叠对误差。
在其它实施方式中,加工模块可以被配置成通过在衬底的背面表面上特定于位置地去除材料来修改衬底上的内应力,这是因为与第二给定点位置相比,衬底上的第一给定点位置可以去除更多的材料。这可以包括:首先将一个或更多个膜添加到衬底的背面表面,然后例如通过使用蚀刻掩模来蚀刻背面表面,在给定位置选择性地从一个或更多个膜去除材料背面表面。在其它实施方式中,加工模块可以被配置成通过将颗粒特定于位置地注入到衬底的背面表面中来修改衬底上的内应力,这是因为与第二给定位置相比,衬底上的第一给定位置可以注入更多的颗粒。例如,离子注入工具可以将颗粒注入到工作表面或背面表面中,以增大或减小拉伸/压缩力,从而改变衬底的曲度。这样的选择性增大或减小可以取决于被处理的表面材料的类型以及被注入的颗粒的类型。加工模块可以被配置成通过对固化膜的特定于位置的温度调制来修改衬底上的内应力。被固化的给定膜可以基于固化温度发展更强或更弱的键。通过使用热或光的投射可以在固化期间实现温度调制,其中各个投射的像素可以基于叠对校正图案被独立处理或强度上变化。
根据对衬底进行的修改应力的处理的类型,可以在一个或更多个模块中执行加工。因此,可以可选地使用多个模块。尽管存在各种修改应力的机制(差异沉积、差异固化、离子注入、选择性蚀刻),但是为了方便描述实施方式,本公开将提供关于选择性蚀刻的更多示例实施方式。
系统100可以包括沉积模块132,其被配置成在衬底的背面表面上沉积一个或更多个膜。沉积模块132可以被配置成沉积具有相反应力的两个或更多个膜。替选地,对于具有相反应力的每个膜使用单独的沉积模块。沉积模块132可以被配置成在周边保持衬底105,其中背面表面面朝上或朝下。背面表面可以面朝上以可流动或旋涂材料。或者,可以在使工作表面保持面朝上的同时对背面表面执行沉积。例如,图5是具有用于使沉积气体朝向背面表面流动的气体分配单元161的示例沉积模块的截面示意图。对于具有特定于位置的沉积的实施方式,图6的投射系统167可以被添加到沉积模块(例如,位于气体分配单元的侧面)以投射具有差异沉积由此具有差异应力的图案。
系统100可以包括涂覆模块133。涂覆模块133被配置成用辐射敏感材料(例如光致抗蚀剂)涂覆衬底的背面表面。图4是示例涂覆模块的截面示意图。定位臂157可以将喷嘴158移动到静止或旋转的衬底下方的各个区域。旋转可能受周边转子影响。在一些实施方式中,抗蚀剂可以喷涂到背面表面上。在其它实施方式中,当粘性抗蚀剂散布、刷涂或以其它方式被推到衬底上时,喷嘴158几乎可以与背面表面接触。
烘烤模块134可以包括在系统100中,并且被配置成在衬底的背面表面上烘烤辐射敏感材料。烘烤模块134可以与常规图案化一样地在各种加工步骤中使用,例如曝光前烘烤、曝光后烘烤和显影后烘烤。烘烤模块通常是已知的。
控制器140被配置成基于衬底的曲度测量来生成定义对衬底上的特定位置处的内应力的调整的叠对校正图案。在这样的叠对校正图案(或曲度校正图案)中,衬底上的第一给定位置与衬底上的、叠对校正图案中的第二给定位置相比具有不同的定义的内应力调整。可以计算这样的校正图案以减少一阶弯曲、二阶弯曲或更高阶弯曲。叠对校正图案可以表示为要投射到光致抗蚀剂中的光化辐射的图案。
叠对校正图案或图像可以使用在抗蚀剂的区域中产生的、否则将被去除的足够的附加支撑件来定义。例如,可以图案化成排的线或台面(mesas)阵列以提供在显影之后用于转移到下面的图案的机械支撑结构,所述结构当被定位在光刻卡盘上时足以与光刻卡盘上的销(pin)接触。常规的光刻卡盘具有衬底搁置在其上的销阵列,而不是该卡盘具有完全平坦的表面。卡盘销的直径可以为100微米至150微米。在一些叠对校正图案中可能是这样的情况:待从衬底的背面表面去除相对大的区域的材料。在该材料被去除的情况下,将存在待与卡盘支撑销接触的间隙或缺失的材料。因此,叠对校正图案可以本质上在特定区域中添加足够的支撑件(例如线或柱),以确保在蚀刻背面表面并清洁光致抗蚀剂之后,背面表面上仍然有足够的支撑件以在夹紧衬底时与光刻卡盘接触。
系统100包括成像模块135,其被配置成基于叠对校正图案将背面表面暴露于光化辐射的图案。在一些实施方式中,可以使用基于光掩模的投射系统,但是在其它实施方式中可以使用直写式系统。直写式曝光系统的一个好处是,光化辐射的图案可以随着基于每个衬底曲度测量加工的每个衬底而改变。图6是投射系统167的截面示意图,投射系统167基于叠对校正图案将衬底的背面表面暴露于光化辐射的图案。衬底可以保持为使工作表面面朝上,同时投射系统167使背面表面从下面曝光。图像投射系统可以例如使用微镜投射器件,其根据叠对校正图案将基于像素的图像投射到衬底的背面表面上。可以使用一个或更多个微镜来将光引导到衬底上。示例微镜投射器件包括数字光投射(DLP)芯片、激光电流计和光栅阀。图像可以全部一次投射或者可以逐行扫描。可以使用能够根据叠对校正图案将激光束或其它光源转向到光致抗蚀剂层上的任何其它技术。对于本文中改变晶片曲度的叠对校正,可以使用各种光源,并且可以使用包括436纳米、405纳米、365纳米、248纳米和193纳米的各种光谱线。注意,对于本文的叠对校正,在几微米尺度上的图案化和减小/增加应力可导致叠对的纳米级的调整/改进。因此,不需要常规的光刻工具,并且替代地,与一些扫描仪系统的两位数纳米分辨率相比,使用相对较低的分辨率的曝光在本文中可以是有效的。
可以基于来自单曝光的投射来生成或调整叠对校正图案。例如,在不需要材料去除的区域(或区或点位置)中,光致抗蚀剂可以保留,对于需要减小最大内应力的区域,这些区域可以被完全清除光致抗蚀剂。对于需要在零与最大值之间进行应力调整的区域,该区域可以转换成更小或更大的开口、台面或线的可变阵列等。类似于用于在新闻印刷中制作灰度等级的黑色墨水,可以在特定位置处产生光致抗蚀剂中的更多或更少开口以有差异地调整应力。注意,该过程可以根据使用的光致抗蚀剂的色调以及使用的显影剂的色调而反转。光化曝光的量也可以基于为应力减小/增加而添加的给定膜的厚度。
成像模块可以包括在其它加工模块之中的处理块120中,或者可以在单独的块(例如图2所示的处理块130)中。例如,本文的各种模块可以被构造成作为走线(track)系统的一个或更多个模块而被包括。走线系统通常被设计成连接扫描仪或步进工具。替代地,可以将直写式曝光工具连接至被重新配置以用于叠对校正的这样的走线系统。
系统100可以包括显影模块136。图4还可以示出显影配置,其中将溶剂显影剂喷射或以其它方式施加到曝光的光致抗蚀剂以使潜在的图像在光致抗蚀剂中显影。在从光致抗蚀剂层中去除可溶部分之后,结果是定义待被蚀刻的区域的浮雕图案。
系统100包括蚀刻模块137。蚀刻模块137可以被配置成使用等离子体或气相蚀刻或湿蚀刻。对于本文中的衬底曲度(z-高度)校正,湿蚀刻足以提供有效的结果,并且也是具有成本效益的解决方案。可以使用约单个位微米的较低分辨率的图案开口来实现有益的结果。在这种尺度下,湿蚀刻是足够的,这是因为蚀刻掩模的任何底切(undercutting)都在公差内。因此,蚀刻模块137被配置成使用浮雕图案作为蚀刻掩模,从而减小衬底的叠对误差。
系统100还可以包括清洁模块138,其可以包括剥离模块。可替选地,使用单独的剥离模块。这样的剥离模块可以被配置成在蚀刻操作之后从背面表面去除剩余的辐射敏感材料。剥离模块可以使用液体化学品去除光致抗蚀剂,或者可以例如通过使用等离子体来灰化或烧掉剩余的光致抗蚀剂。这样的等离子体剥离模块可以是穿过旋转衬底移动的点位置等离子体。图4示出了剥离模块或清洁模块的示例配置。像其它模块一样,剥离或清洁模块可以被配置成在衬底保持为工作表面面朝上时清洁/剥离衬底的背面表面。检查模块139可以可选地用于在叠对校正加工之后检查缺陷。
自动衬底处理系统被配置成在包括计量模块、沉积模块、涂覆模块、烘烤模块、成像模块、显影模块和蚀刻模块的各种模块之间输送衬底。各种模块中的两个或更多个都可以在相同或公共的平台上。自动衬底处理系统可以被配置成根据各种模块的需要来旋转或翻转衬底,然而给定的衬底可以在整个叠对校正过程中执行背面加工,同时衬底的工作表面保持面朝上。对于翻转衬底使得工作表面面朝下并由常规衬底保持器或卡盘保持的实施方式,技术可以包括将保护膜添加到工作表面以保护工作表面(及其上的器件)。
本文的技术还包括可以在一个或更多个系统上执行的用于校正晶片叠对的方法。在一个实施方式中,接收具有工作表面并且具有与工作表面相对的背面表面的衬底。衬底具有由一个或更多个微制造加工步骤产生的初始叠对误差,所述一个或更多个微制造加工步骤已被执行以在衬底的工作表面上产生半导体器件的至少一部分。图11是加工之前的示例衬底区段的侧截面图。图12示出了已经在其上形成的器件171。注意,形成器件171引起负弯曲,然而z高度偏差可以根据在衬底的工作表面上产生的结构的类型而变化。
接收衬底的初始曲度测量,其映射衬底的相对于一个或更多个参考z高度值的z高度偏差。这种初始曲度测量可以从曲度测量工具接收,或者从衬底的曲率测量或其它测量技术得到。图15是具有叠对误差的给定晶片上的曲度的图形测量。为了方便理解,曲度测量表示示出了简化的图像。
基于衬底的初始曲度测量来生成定义对衬底上的特定位置处的内应力的调整的叠对校正图案。在叠对校正图案中,衬底上的第一给定位置与衬底上的、叠对校正图案中的第二给定位置相比具有不同的内应力调整。图16是用于修改曲度和减小叠对误差的叠对校正图案的图形表示。叠对校正图案然后可以定义被计算成减小叠对误差的对衬底上的多个位置的衬底上内应力的特定于位置的调整。
叠对校正图案可以基于衬底的工作表面上的器件参数。例如,了解被制造的器件(finFET、NAND、电容器柱等)的类型以及其相关联的材料类型、空间密度和宽高比可以影响要调整的内应力和/或要处理的曲度偏转的量。
然后根据叠对校正图案在衬底上的特定位置处物理地修改衬底的内应力,从而产生衬底的修改的曲度。具有修改的曲度的衬底具有第二叠对误差。与初始叠对误差相比,第二叠对误差减小了叠对误差。图17示出了第二或随后的曲度测量,第二或随后的曲度测量示出了减小的弯曲并且具有减小的叠对误差。虽然修改的曲度可以是平面的衬底,但是修改的曲度也可以表征具有改进的叠对精度的非平面衬底。物理上修改内应力可以包括差异地修改内应力,使得衬底上的不同位置被独立地修改,并且与彼此相比,不同位置的至少一部分被不同地修改。因此,应力可以独立地并且在空间上被修改。
这样的修改可以包括增大或减小衬底的工作表面上或背面表面上的内应力。在衬底的工作表面上的集成电路的制造期间,物理地修改衬底上的内应力的动作可以发生多次。例如,当衬底变得弯曲到叠对误差在公差之外的点时,受影响的衬底可以被端口连接到系统100,然后可以执行本文的叠对校正技术。因此,可以在使用一个或更多个模块的公共平台上执行测量衬底和修改内应力的所有处理。这样的内应力调整可以包括使用一个或更多个处理过程。示例加工包括特定于位置的颗粒注入、特定于位置的蚀刻、特定于位置的交联、特定于位置的温度差异固化、特定于位置的差异沉积等。例如,可以将特定的塑料材料沉积、涂覆或以其它方式施加到背面表面。该塑料膜(或碳膜、单体膜等)最初可以没有明显的内应力。使用直写式曝光工具或其它特定于局部区域的加热机构,可以在曝光工具使交联发生的特定位置(区域)处交联塑料膜。这种局部加热或暴光在那些特定位置处产生内应力,这些应力又引起曲度修改,从而校正晶片叠对。在该实施方式中,在随后的微制造步骤期间,塑料膜可以留在背面表面上。该实施方式还可以在没有显影和蚀刻步骤的情况下提供叠对校正。
可以使用任何数量的用于z高度或曲率校正的计算方法来生成叠对校正图案。示例计算方法包括平面内偏差、与参考平面的z高度偏差、对感兴趣的位置的多阶导数分析、Zernike多项式分析、像素化基函数优化、球贝塞尔函数等。感兴趣的位置可以进一步根据实验或实验校准得到。曲度和曲率相关。对于这样的衬底曲度校正,下面示出了示例曲率计算。
变位场:
曲率场:
平均曲率:
高斯曲率:
K=κrrκθθ
如图10所示,弯曲度随添加至衬底表面的应力材料的量线性地增加和减小。因此,要去除的材料的厚度可以基于具有简单计算的曲度测量。使用曲度测量的大小或平均值,可以确定用于沉积在背面表面上的膜的具体厚度。然后将材料从特定位置去除以产生相反或补偿的应力/力来修改/校正衬底曲度以改善叠对。
在另一实施方式中,使用一种方法来校正晶片叠对。接收具有工作表面和与工作表面相对的背面表面的衬底。衬底具有由一个或更多个微制造加工步骤产生的初始叠对误差,所述微制造加工步骤已经被执行以在衬底的工作表面上产生半导体器件的至少一部分。图12示出了作为工作表面上的器件109的结果而具有弯曲的示例衬底区段。测量衬底的曲度以产生曲度测量,其映射衬底上的相对于一个或更多个参考z高度值的z高度偏差。
生成叠对校正图案,其基于衬底的曲度测量来定义对衬底上的特定位置处的内应力的调整。在叠对校正图案中,衬底上的第一给定位置与叠对校正图案中的衬底上的第二给定位置相比具有定义的不同的内应力调整。
一个或更多个膜沉积在衬底的背面表面上。例如,第一膜173可以沉积在背面表面上。可以选择第一膜173作为蚀刻停止层,其抵抗一个或更多个湿蚀刻化学品,如特定的氮化硅。该第一膜也可以帮助抵抗应力。该膜可以是压缩的或拉伸的,这取决于沉积什么材料或者沉积什么类型的氮化物。第二膜174可以是应力调整膜。示例膜可以是氧化硅。这些两个或更多个膜可以帮助抵抗应力。这两个膜可以具有相反的压力。例如,沉积的第一膜可以具有压缩内应力,而第二膜具有拉伸内应力。这些应力可以反转,或者是相同类型的应力(都是拉伸应力)。注意,在图13中,衬底105的曲度被反转,因为存在正曲度(高于中心线)而不是负曲度。使用来自沉积膜的抵抗应力,可以在叠对校正图案之后,在蚀刻时在各种位置处选择性地减小或修改该内应力。在其它实施方式中,沉积单个膜,如氮化硅,然后在其上形成蚀刻掩模。
使用衬底的背面表面上的一个或更多个膜,可以用光致抗蚀剂层涂覆背面表面。此外,常规的烘烤处理然后可以设置光致抗蚀剂。然后可以使用基于叠对校正图案的光化辐射的图案来对光致抗蚀剂层进行成像。可以使用直写式投射装置来执行这种成像。这种装置可以使用微镜投射或微光栅投射或者用于使光束转向或投射光的另一机制来投射基于像素的图像。例如,这样的微镜投射可以包括称为一对的微镜阵列和激光电流计。
然后可以对光致抗蚀剂层显影以去除光致抗蚀剂层的可溶部分,从而形成露出背面表面的部分(如第二膜174)的光致抗蚀剂的浮雕图案177。然后,背面表面的露出部分可以使用浮雕图案作为蚀刻掩模来蚀刻。这样的蚀刻可以在背面表面面朝下的情况下执行。该蚀刻导致衬底的修改的曲度。具有修改的曲度的衬底具有第二叠对误差。与初始叠对误差相比,第二叠对误差具有减小的叠对误差。图14中示出了结果。注意,第二膜174具有被蚀刻掉的材料。浮雕图案177已经被去除。注意,在区域179处,剩余几个小的结构。该特定区域可以被初始地定义为去除所有材料,但是支撑结构被创建或留下以与卡盘销181接触。因此,可以将叠对校正图案修改为基于单独光刻工具的衬底支撑。
在浮雕图案177用作蚀刻掩模之后,浮雕图案177可以例如用和光致抗蚀剂层接触的大气等离子体源被剥离,或者被灰化或烧掉。可选地,本文中的所有加工步骤可以在能够在各种模块之间移动衬底的公共平台(如走线工具)上执行。取决于加工时间,可以使用更多或更少的特定模块。例如,烘烤通常需要比曝光更长的时间,因此与成像模块相比,可以使用更多的烘烤模块。
在一些实施方式中,可以用覆盖抵抗应力膜来校正一阶曲度。二阶曲度可以用复合或差分计数器图像或在覆盖应力膜中转印的叠对校正图案进行校正。
在前面的描述中,已经阐述了具体细节,如加工系统的特定几何形状以及其中使用的各种部件和处理的描述。然而,应当理解的是,本文中的技术可以在脱离这些具体细节的情况下在其他实施方式中实施,并且这些细节是出于说明而非限制的目的。已经参照附图描述了本文公开的实施方式。类似地,出于说明的目的,已经阐述了具体的数字、材料和配置以提供透彻的理解。然而,可以在没有这些具体细节的情况下实施实施方式。具有基本上相同的功能结构的部件由相同的附图标记表示,因此可以省略任何冗余描述。
已经将各种技术描述为多个离散操作以帮助理解各种实施方式。描述的顺序不应被解释为意味着这些操作必然是依赖于顺序的。实际上,这些操作无需按照呈现的顺序来执行。所描述的操作可以以与所描述的实施方式不同的顺序来执行。在另外的实施方式中,可以执行各种附加操作和/或可以省略所描述的操作。
如本文使用的“衬底”或“目标衬底”通常是指根据本发明正被加工的对象。衬底可以包括器件的任何材料部分或结构,特别是半导体或其他电子器件,并且可以例如是基本衬底结构(如半导体晶片、中间掩模)或者在基本衬底结构上或与基本衬底结构叠加的层(如薄膜)。因此,衬底不限于任何特定的基本结构、下层或上覆层、图案化或未图案化,而是预期包括任何这样的层或基本结构以及层和/或基本结构的任意组合。该描述可以涉及特定类型的衬底,但这仅仅是出于说明的目的。
本领域技术人员还将理解,对于上述技术的操作可以做出许多变化,但是仍然实现本发明的相同目标。这些变化旨在被本公开内容的范围涵盖。同样地,本发明的实施方式的前述描述并非旨在进行限制。相反,在所附权利要求中呈现了对本发明的实施方式的任何限制。

Claims (55)

1.一种用于校正晶片叠对的系统,所述系统包括:
计量模块,其被配置成测量衬底的曲度并生成曲度测量,所述曲度测量映射所述衬底的相对于一个或多个参考z高度值的z高度偏差,所述衬底具有工作表面并且具有与所述工作表面相反的背面表面,所述衬底具有初始叠对误差,所述初始叠对误差源自已经被执行以在所述衬底的所述工作表面上创建半导体器件的至少一部分的一个或多个微制造加工步骤;
控制器,其被配置成接收所述曲度测量并基于所述曲度测量产生叠对校正图案,所述叠对校正图案基于所述曲度测量定义对所述衬底上的在特定位置处的内应力的调整,其中,在所述叠对校正图案中所述衬底上的第一给定位置具有与所述衬底上的第二给定位置相比不同的定义的内应力调整;以及
加工模块,其具有衬底保持器和衬底处理部件,所述衬底处理部件被配置成根据所述叠对校正图案物理地修改所述衬底上的在特定位置处的所述衬底上的内应力,以得到所述衬底的经修改的曲度,具有所述经修改的曲度的衬底具有第二叠对误差,与所述初始叠对误差相比,所述第二叠对误差具有减小的叠对误差。
2.根据权利要求1所述的系统,其中,所述加工模块被配置成以如下方式不同地修改内应力:所述加工模块被配置成独立地修改所述衬底上的不同位置,使得所述不同位置中的至少一部分被彼此相比不同地修改。
3.根据权利要求1所述的系统,其中,所述加工模块被配置成增加或减小所述衬底上的在特定位置处的内应力,其中,所述加工模块被配置成物理地修改所述衬底的所述工作表面或所述衬底的所述背面表面上的内应力。
4.根据权利要求1所述的系统,其中,所述加工模块被配置成将所述衬底保持成使所述工作表面面朝上,同时物理地修改所述衬底的所述背面表面上的内应力。
5.根据权利要求1所述的系统,其中,所述计量模块和所述加工模块在具有自动衬底处理系统的公共平台上,所述自动衬底处理系统将所述衬底自动地从所述计量模块移动到所述加工模块。
6.根据权利要求1所述的系统,其中,所述加工模块被配置成以如下方式在所述衬底的所述背面表面上通过特定于位置的材料添加来修改所述衬底上的内应力:所述衬底上的所述第一给定位置与所述第二给定位置相比能够具有更多的添加材料。
7.根据权利要求1所述的系统,其中,所述加工模块被配置成以如下方式在所述衬底的所述背面表面上通过特定于位置的材料去除来修改所述衬底上的内应力:所述衬底上的所述第一给定位置与所述第二给定位置相比能够具有更多的去除材料,其中,所述加工模块被配置成将一个或多个膜添加到所述衬底的所述背面表面,然后选择性地在给定位置处从所述一个或多个膜去除材料。
8.根据权利要求1所述的系统,其中,所述加工模块被配置成以如下方式通过将颗粒以特定于位置的注入所述衬底的所述背面表面来修改所述衬底上的内应力:所述衬底上的所述第一给定位置与所述第二给定位置相比能够具有更多的注入的颗粒。
9.根据权利要求1所述的系统,其中,所述加工模块被配置成通过固化膜的特定于位置的温度调制来修改所述衬底上的内应力。
10.根据权利要求1所述的系统,其中,所述控制器被配置成基于所述工作表面的器件参数以及所述曲度测量来生成所述叠对校正图案。
11.根据权利要求1所述的系统,其中,所述控制器被配置成使用选自由平面内偏差、与参考平面的z高度偏差、对感兴趣的位置的多阶导数分析、Zernike多项式分析、像素化基函数优化和球贝塞尔函数组成的组的计算方法来生成所述叠对校正图案。
12.一种用于校正晶片叠对的系统,所述系统包括:
计量模块,其被配置成测量衬底的曲度,以产生曲度测量,所述曲度测量映射所述衬底的相对于一个或多个参考z高度值的z高度偏差;
涂覆模块,其被配置成用辐射敏感材料涂覆衬底的背面表面;
控制器,其被配置成生成叠对校正图案,所述叠对校正图案基于所述衬底的所述曲度测量来定义对所述衬底上的在特定位置处的内应力的调整,其中,在所述叠对校正图案中所述衬底上的第一给定位置具有与所述衬底上的第二给定位置相比不同的定义的内应力调整;
成像模块,其被配置成基于所述叠对校正图案将所述背面表面暴露于光化辐射的图案;
显影模块,其被配置成在暴露于所述光化辐射的图案之后显影所述辐射敏感材料,以使所述辐射敏感材料在所述衬底的所述背面表面上形成浮雕图案;以及
蚀刻模块,其被配置成使用所述浮雕图案作为蚀刻掩模来蚀刻所述衬底的所述背面表面,由此减小所述衬底的叠对误差。
13.根据权利要求12所述的系统,还包括自动衬底处理系统,所述自动衬底处理系统被配置成在所述计量模块、所述涂覆模块、所述成像模块、所述显影模块和所述蚀刻模块之间传送所述衬底。
14.根据权利要求13所述的系统,其中,所有的所述计量模块、所述涂覆模块、所述成像模块、所述显影模块和所述蚀刻模块都在公共平台上。
15.根据权利要求13所述的系统,其中,所述自动衬底处理系统被配置成旋转所述衬底以选择性地使工作表面或所述背面表面面朝上。
16.根据权利要求12所述的系统,还包括剥离模块,所述剥离模块被配置成从所述背面表面去除剩余的辐射敏感材料。
17.根据权利要求16所述的系统,其中,所述剥离模块被配置成使用等离子体从所述背面表面烧掉所述剩余的辐射敏感材料。
18.根据权利要求16所述的系统,其中,所述剥离模块被配置成使用液体化学品从所述背面表面去除所述剩余的辐射敏感材料。
19.根据权利要求12所述的系统,还包括烘烤模块,所述烘烤模块被配置成烘烤在所述衬底的所述背面表面上的所述辐射敏感材料。
20.根据权利要求12所述的系统,还包括沉积模块,所述沉积模块被配置成在所述衬底的所述背面表面上沉积一个或多个膜。
21.根据权利要求20所述的系统,其中,所述沉积模块被配置成沉积两个或更多个应力相反的膜。
22.根据权利要求12所述的系统,其中,所述系统被配置成生成光化辐射的图案作为曲度校正图像,其中,所述系统被配置成生成足以减小至少二阶弯曲的叠对校正图像。
23.根据权利要求12所述的系统,其中,所述系统被配置成生成所述叠对校正图案,所述叠对校正图案足以定义所述浮雕图案,所述浮雕图案在可溶区域中定义足够的支撑结构以提供在显影之后足以当定位在光刻卡盘上时与所述光刻卡盘上的销接触的机械支撑结构。
24.根据权利要求12所述的系统,其中,所述蚀刻模块被配置成使用液体蚀刻化学品蚀刻所述衬底的所述背面表面,并且其中,所述成像模块被配置成使用直写式曝光工具。
25.根据权利要求12所述的系统,其中,所述成像模块使用一个或多个镜将电磁辐射引导在所述衬底处,其中,所述成像模块被配置成使用具有选自由436纳米、405纳米、365纳米、248纳米和193纳米组成的组的光谱线的光源来将图像投射在所述衬底的所述背面表面上。
26.根据权利要求12所述的系统,还包括清洁模块,所述清洁模块被配置成清洁所述衬底的所述背面表面或工作表面。
27.一种用于校正晶片叠对的方法,所述方法包括:
接收具有工作表面且具有与所述工作表面相反的背面表面的衬底,所述衬底具有初始叠对误差,所述初始叠对误差源自已经被执行以在所述衬底的所述工作表面上创建半导体器件的至少一部分的一个或多个微制造加工步骤;
接收所述衬底的初始曲度测量,所述初始曲度测量映射所述衬底的相对于一个或多个参考z高度值的z高度偏差;
生成叠对校正图案,所述叠对校正图案基于所述衬底的所述初始曲度测量来定义对所述衬底上的特定位置处的内应力的调整,其中,在所述叠对校正图案中所述衬底上的第一给定位置具有与所述衬底上的第二给定位置相比不同的定义的内应力调整;以及
根据所述叠对校正图案在所述衬底上的特定位置处物理地修改所述衬底上的内应力,以得到所述衬底的经修改的曲度,具有所述经修改的曲度的衬底具有第二叠对误差,所述第二叠对误差与所述初始叠对误差相比具有减小的叠对误差。
28.根据权利要求27所述的方法,其中,物理地修改内应力包括不同地修改内应力,使得所述衬底上的不同位置被独立地修改,并且所述不同位置的至少一部分被彼此相比不同地修改。
29.根据权利要求27所述的方法,其中,所述衬底的所述初始曲度测量表征非平面衬底,并且其中,所述衬底的修改曲度表征所述非平面衬底。
30.根据权利要求27所述的方法,其中,物理地修改所述衬底上的内应力包括增加或减小所述衬底的所述工作表面上的内应力。
31.根据权利要求27所述的方法,其中,物理地修改所述衬底上的内应力包括增加或减小所述衬底的所述背面表面上的内应力。
32.根据权利要求27所述的方法,还包括在所述衬底的所述工作表面上的集成电路的制造期间多次重复地物理地修改所述衬底上的内应力。
33.根据权利要求27所述的方法,其中,接收所述初始曲度测量包括测量所述衬底以生成所述初始曲度测量,并且其中,测量所述衬底并物理地修改内应力都在具有自动衬底处理系统的公共平台上执行,所述自动衬底处理系统将所述衬底从被配置成测量曲度的衬底计量模块移动到修改所述衬底内的内应力的衬底加工模块。
34.根据权利要求27所述的方法,其中,在所述衬底上的特定位置处物理地修改所述衬底上的内应力包括使用选自由特定于位置的颗粒注入、特定于位置的蚀刻、特定于位置的交联、特定于位置的温度差异固化和特定于位置的差异沉积组成的组的处理过程。
35.根据权利要求34所述的方法,其中,所述处理过程在所述工作表面面朝上时处理所述衬底的所述背面表面。
36.根据权利要求27所述的方法,其中,所述叠对校正图案是基于所述衬底的所述工作表面上的器件参数。
37.根据权利要求27所述的方法,其中,所述叠对校正图案使用选自由平面内偏差、与参考平面的z高度偏差、对感兴趣的位置的多阶导数分析、Zernike多项式分析、像素化基函数优化和球贝塞尔函数组成的组的计算方法来生成。
38.一种用于校正晶片叠对的方法,所述方法包括:
接收具有工作表面并且具有与所述工作表面相反的背面表面的衬底,所述衬底具有初始叠对误差,所述初始叠对误差源自已经被执行以在所述衬底的所述工作表面上创建半导体器件的至少一部分的一个或多个微制造加工步骤;
接收所述衬底的初始曲度测量,所述初始曲度测量映射所述衬底的相对于一个或多个参考z高度值的z高度偏差;
基于所述初始曲度测量和所述工作表面的参数来生成叠对校正图案,所述叠对校正图案定义被计算成减小所述衬底的叠对误差的对所述衬底上的多个位置上的所述衬底上的内应力的特定于位置的调整;
根据所述叠对校正图案物理地修改所述衬底上的特定位置处的所述衬底上的内应力,使得至少两个位置被不同地修改,并且使得得到所述衬底的经修改的曲度,其中,具有所述经修改的曲度的衬底具有第二叠对误差,所述第二叠对误差与所述初始叠对误差相比具有减小的叠对误差。
39.根据权利要求38所述的方法,其中,所述衬底的所述初始曲度测量表征非平面衬底,并且其中,所述衬底的修改曲度表征所述非平面衬底或平面衬底。
40.根据权利要求38所述的方法,其中物理地修改所述衬底上的内应力包括增加或减小所述衬底的所述工作表面或所述衬底的所述背面表面上的内应力。
41.根据权利要求38所述的方法,其中,接收所述初始曲度测量包括测量所述衬底以生成所述初始曲度测量,并且其中,测量所述衬底和物理地修改内应力都在具有自动衬底处理系统的公共平台上执行,所述自动衬底处理系统将所述衬底从被配置成测量曲度的衬底计量模块移动到修改所述衬底内的内应力的衬底加工模块。
42.根据权利要求38所述的方法,其中,在所述衬底上的特定位置处物理地修改所述衬底上的内应力包括使用选自由特定于位置的颗粒注入、特定于位置的蚀刻、特定于位置的交联、特定于位置的温度差异固化和特定于位置的差异沉积组成的组的处理过程。
43.根据权利要求38所述的方法,其中,所述叠对校正图案是基于所述衬底的所述工作表面上的器件参数。
44.根据权利要求38所述的方法,其中,所述叠对校正图案使用选自由平面内偏差、与参考平面的z高度偏差、对感兴趣的位置的多阶导数分析、Zernike多项式分析、像素化基函数优化和球贝塞尔函数组成的组的计算方法来生成。
45.一种用于校正晶片叠对的方法,所述方法包括:
接收具有工作表面和与所述工作表面相反的背面表面的衬底,所述衬底具有初始叠对误差,所述初始叠对误差源自已经被执行以在所述衬底的所述工作表面上创建半导体器件的至少一部分的一个或多个微制造加工步骤;
测量所述衬底的曲度,以产生所述衬底的曲度测量,所述曲度测量映射所述衬底的相对于一个或多个参考z高度值的z高度偏差;
生成叠对校正图案,所述叠对校正图案基于所述衬底的所述曲度测量来定义对所述衬底上的特定位置处的内应力的调整,其中,在所述叠对校正图案中所述衬底上的第一给定位置与所述衬底上的第二给定位置相比具有不同的定义的内应力调整;
用光致抗蚀剂层涂覆所述衬底的所述背面表面;
基于叠对校正图案,使用光化辐射的图案对所述衬底的所述背面表面上的所述光致抗蚀剂层进行成像;
对所述光致抗蚀剂层显影以去除所述光致抗蚀剂层的可溶部分,进而得到露出所述背面表面的部分的光致抗蚀剂的浮雕图案;以及
使用所述浮雕图案作为蚀刻掩模来蚀刻所述背面表面的露出的部分,所述蚀刻得到所述衬底的经修改的曲度,具有所述经修改的曲度的衬底具有第二叠对误差,所述第二叠对误差与所述初始叠对误差相比具有减小的叠对误差。
46.根据权利要求45所述的方法,其中,所述衬底的所述背面表面包括一个或多个沉积膜,所述一个或多个沉积膜具有在被蚀刻时可修改的内应力。
47.根据权利要求45所述的方法,还包括在用所述光致抗蚀剂层涂覆所述背面表面之前,在所述衬底的所述背面表面上沉积一个或多个膜。
48.根据权利要求47所述的方法,其中,在所述背面表面上沉积所述一个或多个膜包括沉积第一膜以及沉积第二膜,其中,所述第一膜和所述第二膜具有相反的应力。
49.根据权利要求47所述的方法,其中,在所述背面表面上沉积所述一个或多个膜包括沉积第一膜以及沉积第二膜,其中,所述第一膜具有压缩内应力,并且其中,所述第二膜具有拉伸内应力。
50.根据权利要求45所述的方法,其中,使用直写式投射装置投射所述光化辐射的图案,其中,所述直写式投射装置被配置成使用一个或多个微镜将光投射到所述衬底上。
51.根据权利要求45所述的方法,其中,生成所述叠对校正图像包括定义蚀刻掩模的要保留的足够部分以定义与衬底保持器的卡销接触的物理支撑。
52.根据权利要求45所述的方法,其中,接收所述衬底、测量所述衬底的曲度、涂覆所述背面表面、对所述光致抗蚀剂层进行成像以及蚀刻所述背面表面的露出部分的步骤都在使用自动衬底处理系统的公共平台上执行,所述自动衬底处理系统在模块间传送所述衬底以用于执行衬底的叠对误差校正。
53.根据权利要求45所述的方法,其中,所述背面表面在所述背面表面面朝下时被涂覆。
54.根据权利要求45所述的方法,还包括在蚀刻所述背面表面的露出部分之后去除所述浮雕图案,其中,使用在大气压下生成的等离子体去除所述浮雕图案。
55.根据权利要求45所述的方法,其中蚀刻所述背面表面的露出部分包括使用液体化学品。
CN201710791991.3A 2016-09-05 2017-09-05 半导体加工中控制曲度以控制叠对的位置特定的应力调节 Active CN107799451B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662383549P 2016-09-05 2016-09-05
US62/383,549 2016-09-05

Publications (2)

Publication Number Publication Date
CN107799451A true CN107799451A (zh) 2018-03-13
CN107799451B CN107799451B (zh) 2023-05-02

Family

ID=61280932

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710791991.3A Active CN107799451B (zh) 2016-09-05 2017-09-05 半导体加工中控制曲度以控制叠对的位置特定的应力调节

Country Status (5)

Country Link
US (6) US10431468B2 (zh)
JP (2) JP7164289B2 (zh)
KR (1) KR102467979B1 (zh)
CN (1) CN107799451B (zh)
TW (3) TWI776817B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110246788A (zh) * 2019-06-28 2019-09-17 英特尔半导体(大连)有限公司 用于在晶圆沉积薄膜的设备
CN110517968A (zh) * 2019-08-19 2019-11-29 西安奕斯伟硅片技术有限公司 一种翘曲度的控制方法及装置
CN110807273A (zh) * 2018-08-03 2020-02-18 东京毅力科创株式会社 基于半导体晶片的局部畸变的确定的全局晶片畸变的改善
CN111868906A (zh) * 2018-03-16 2020-10-30 Asml荷兰有限公司 检查系统、光刻设备和检查方法
CN112074940A (zh) * 2018-03-20 2020-12-11 东京毅力科创株式会社 结合有集成半导体加工模块的自感知校正异构平台及其使用方法
US20220312585A1 (en) * 2021-03-29 2022-09-29 Beijing Xiaomi Mobile Software Co., Ltd. Welding quality processing method and device, and circuit board

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7164289B2 (ja) 2016-09-05 2022-11-01 東京エレクトロン株式会社 半導体プロセッシング中のオーバレイを制御するための湾曲を制御する応力の位置特定チューニング
US10770327B2 (en) * 2017-07-28 2020-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for correcting non-ideal wafer topography
EP3457213A1 (en) * 2017-09-18 2019-03-20 ASML Netherlands B.V. Methods and apparatus for use in a device manufacturing method
US10847419B2 (en) * 2018-03-14 2020-11-24 Raytheon Company Stress compensation and relief in bonded wafers
US11624981B2 (en) 2018-04-10 2023-04-11 Lam Research Corporation Resist and etch modeling
US11164768B2 (en) * 2018-04-27 2021-11-02 Kla Corporation Process-induced displacement characterization during semiconductor production
WO2020038642A1 (en) * 2018-08-22 2020-02-27 Asml Netherlands B.V. Metrology apparatus
US10790232B2 (en) * 2018-09-15 2020-09-29 International Business Machines Corporation Controlling warp in semiconductor laminated substrates with conductive material layout and orientation
US10896821B2 (en) * 2018-09-28 2021-01-19 Lam Research Corporation Asymmetric wafer bow compensation by physical vapor deposition
US10903070B2 (en) * 2018-09-28 2021-01-26 Lam Research Corporation Asymmetric wafer bow compensation by chemical vapor deposition
KR20230150404A (ko) * 2018-09-28 2023-10-30 램 리써치 코포레이션 비대칭 웨이퍼 보우 보상
JP7129888B2 (ja) * 2018-11-07 2022-09-02 東京エレクトロン株式会社 成膜方法及び半導体製造装置
US11114406B2 (en) 2019-01-31 2021-09-07 Sandisk Technologies Llc Warpage-compensated bonded structure including a support chip and a three-dimensional memory chip
US10847408B2 (en) * 2019-01-31 2020-11-24 Sandisk Technologies Llc Warpage-compensated bonded structure including a support chip and a three-dimensional memory chip
US11036147B2 (en) * 2019-03-20 2021-06-15 Kla Corporation System and method for converting backside surface roughness to frontside overlay
JP2020174076A (ja) * 2019-04-08 2020-10-22 東京エレクトロン株式会社 成膜装置、成膜方法、および成膜システム
US11393118B2 (en) * 2019-06-18 2022-07-19 Kla Corporation Metrics for asymmetric wafer shape characterization
US11879170B2 (en) 2019-08-14 2024-01-23 Massachusetts Institute Of Technology Stress patterning systems and methods for manufacturing free-form deformations in thin substrates
CN110620057B (zh) * 2019-09-12 2021-12-07 中国科学院微电子研究所 一种三维器件的套刻误差补偿方法及系统
JP7336369B2 (ja) * 2019-11-25 2023-08-31 株式会社Screenホールディングス 基板支持装置、熱処理装置、基板支持方法、熱処理方法
JP2023514497A (ja) * 2020-01-30 2023-04-06 ラム リサーチ コーポレーション 局所応力調整のためのuv硬化
JP2023516077A (ja) * 2020-03-05 2023-04-17 ラム リサーチ コーポレーション 集積回路処理におけるウエハ反りの制御
DE102020106768B4 (de) 2020-03-12 2023-06-15 Institut Für Nanophotonik Göttingen E.V. Verfahren zur umformenden Bearbeitung eines Trägersubstrates für ein optisches Funktionsbauteil
JP2021149000A (ja) * 2020-03-19 2021-09-27 キオクシア株式会社 露光方法、露光装置、及び半導体装置の製造方法
US11569134B2 (en) * 2020-04-14 2023-01-31 International Business Machines Corporation Wafer backside engineering for wafer stress control
JP7384106B2 (ja) * 2020-04-17 2023-11-21 三菱電機株式会社 半導体装置の製造方法
KR20210131798A (ko) * 2020-04-24 2021-11-03 삼성전자주식회사 Euv 노광 장치, 및 그 노광 장치를 이용한 오버레이 보정 방법과 반도체 소자 제조방법
US20210366792A1 (en) * 2020-05-22 2021-11-25 Tokyo Electron Limited Backside deposition tuning of stress to control wafer bow in semiconductor processing
US11702750B2 (en) * 2020-06-10 2023-07-18 Sandisk Technologies Llc Method and apparatus for depositing a multi-sector film on backside of a semiconductor wafer
US11473199B2 (en) 2020-06-10 2022-10-18 Sandisk Technologies Llc Method and apparatus for depositing a multi-sector film on backside of a semiconductor wafer
US11637043B2 (en) 2020-11-03 2023-04-25 Applied Materials, Inc. Analyzing in-plane distortion
US11830778B2 (en) 2020-11-12 2023-11-28 International Business Machines Corporation Back-side wafer modification
US11829077B2 (en) * 2020-12-11 2023-11-28 Kla Corporation System and method for determining post bonding overlay
US11721551B2 (en) * 2021-01-26 2023-08-08 Tokyo Electron Limited Localized stress regions for three-dimension chiplet formation
US20220344171A1 (en) * 2021-04-26 2022-10-27 Applied Materials, Inc. Localized stress modulation by implant to back of wafer
US20220415683A1 (en) * 2021-06-27 2022-12-29 Tignis, Inc. Method for determining corrective film pattern to reduce semiconductor wafer bow
US20230008350A1 (en) * 2021-07-08 2023-01-12 Tokyo Electron Limited Method of adjusting wafer shape using multi-directional actuation films
US20230025264A1 (en) * 2021-07-20 2023-01-26 Changxin Memory Technologies, Inc. Method for adjusting wafer deformation and semiconductor structure
US11782411B2 (en) * 2021-07-28 2023-10-10 Kla Corporation System and method for mitigating overlay distortion patterns caused by a wafer bonding tool
KR20230048952A (ko) * 2021-10-05 2023-04-12 삼성전자주식회사 풀-칩 레이아웃을 이용한 레이아웃 검증 시스템 및 이를 이용한 레이아웃 검증 방법
US12001147B2 (en) * 2021-11-19 2024-06-04 Tokyo Electron Limited Precision multi-axis photolithography alignment correction using stressor film
WO2023104391A1 (en) * 2021-12-06 2023-06-15 Asml Netherlands B.V. Methods of determining a mechanical property of a layer applied to a substrate, and associated devices
EP4202551A1 (en) * 2021-12-23 2023-06-28 ASML Netherlands B.V. Methods of determining a mechanical property of a layer applied to a substrate, and associated devices
US11994807B2 (en) 2022-05-03 2024-05-28 Tokyo Electron Limited In-situ lithography pattern enhancement with localized stress treatment tuning using heat zones
WO2023219982A1 (en) * 2022-05-13 2023-11-16 Applied Materials, Inc. Dose mapping using substrate curvature to compensate for out-of-plane distortion
WO2023219983A1 (en) * 2022-05-13 2023-11-16 Applied Materials, Inc. Dose mapping and substrate rotation for substrate curvature control with improved resolution
US20240103385A1 (en) * 2022-09-28 2024-03-28 Applied Materials, Inc. Frequency and Amplitude Modulation of Implant Dose for Stress Management
CN116228773B (zh) * 2023-05-09 2023-08-04 华芯程(杭州)科技有限公司 一种晶圆检测机台的量测数据校准方法、装置及设备
CN117410199B (zh) * 2023-12-15 2024-03-08 合肥晶合集成电路股份有限公司 一种套刻误差的测量方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004513509A (ja) * 2000-09-20 2004-04-30 ケーエルエー・テンコール・テクノロジーズ・コーポレーション 半導体製造プロセスのための方法とシステム
CN1550907A (zh) * 2003-05-09 2004-12-01 Asml荷兰有限公司 光刻装置,器件制造方法,以及由此制造的器件
CN103779188A (zh) * 2012-10-19 2014-05-07 台湾积体电路制造股份有限公司 覆盖预测的方法
JP2015041640A (ja) * 2013-08-20 2015-03-02 Towa株式会社 基板の搬送供給方法及び基板の搬送供給装置
US20150294917A1 (en) * 2014-04-09 2015-10-15 Tokyo Electron Limited Method for Correcting Wafer Bow from Overlay
CN105448762A (zh) * 2014-08-28 2016-03-30 中国科学院微电子研究所 一种衬底翘曲度的调整方法
CN105531811A (zh) * 2013-08-09 2016-04-27 东京毅力科创株式会社 衬底背面纹理

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5851929A (en) * 1996-01-04 1998-12-22 Micron Technology, Inc. Controlling semiconductor structural warpage in rapid thermal processing by selective and dynamic control of a heating source
US5972570A (en) 1997-07-17 1999-10-26 International Business Machines Corporation Method of photolithographically defining three regions with one mask step and self aligned isolation structure formed thereby
JPH1197506A (ja) * 1997-09-22 1999-04-09 Dainippon Screen Mfg Co Ltd 基板処理装置
EP1089328A1 (en) 1999-09-29 2001-04-04 Infineon Technologies AG Method for manufacturing of a semiconductor device
JP2001344710A (ja) * 2000-06-05 2001-12-14 Tdk Corp ウエハの平面度制御方法及び薄膜磁気ヘッドの製造方法
EP1303792B1 (en) * 2000-07-16 2012-10-03 Board Of Regents, The University Of Texas System High-resolution overlay alignement methods and systems for imprint lithography
US7169685B2 (en) * 2002-02-25 2007-01-30 Micron Technology, Inc. Wafer back side coating to balance stress from passivation layer on front of wafer and be used as die attach adhesive
US7119351B2 (en) * 2002-05-17 2006-10-10 Gsi Group Corporation Method and system for machine vision-based feature detection and mark verification in a workpiece or wafer marking system
KR100487562B1 (ko) * 2003-03-24 2005-05-03 삼성전자주식회사 웨이퍼 휘어짐을 억제할 수 있는 반도체 제조방법
JP2004356386A (ja) * 2003-05-29 2004-12-16 Trecenti Technologies Inc 半導体装置およびその製造方法
JP4232605B2 (ja) * 2003-10-30 2009-03-04 住友電気工業株式会社 窒化物半導体基板の製造方法と窒化物半導体基板
US7164200B2 (en) * 2004-02-27 2007-01-16 Agere Systems Inc. Techniques for reducing bowing in power transistor devices
US7184853B2 (en) * 2005-05-18 2007-02-27 Infineon Technologies Richmond, Lp Lithography method and system with correction of overlay offset errors caused by wafer processing
US7853920B2 (en) * 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7645546B2 (en) * 2006-02-06 2010-01-12 Macronix International Co., Ltd. Method for determining an overlay correlation set
US7719089B2 (en) * 2006-05-05 2010-05-18 Sony Corporation MOSFET having a channel region with enhanced flexure-induced stress
US7936445B2 (en) * 2006-06-19 2011-05-03 Asml Netherlands B.V. Altering pattern data based on measured optical element characteristics
KR100849366B1 (ko) * 2006-08-24 2008-07-31 세메스 주식회사 기판을 처리하는 장치 및 방법
KR101108709B1 (ko) * 2007-07-12 2012-01-30 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US8900715B2 (en) * 2008-06-11 2014-12-02 Infineon Technologies Ag Semiconductor device
KR20110024997A (ko) * 2009-09-03 2011-03-09 주식회사 하이닉스반도체 웨이퍼 휨 방지를 위한 반도체장치 제조 방법
JP2011119472A (ja) 2009-12-03 2011-06-16 Panasonic Corp 半導体製造装置
US8768665B2 (en) * 2010-01-08 2014-07-01 Kla-Tencor Technologies Corporation Site based quantification of substrate topography and its relation to lithography defocus and overlay
JP2011201759A (ja) 2010-03-05 2011-10-13 Namiki Precision Jewel Co Ltd 多層膜付き単結晶基板、多層膜付き単結晶基板の製造方法および素子製造方法
US8183104B2 (en) 2010-07-07 2012-05-22 Hobbs Christopher C Method for dual-channel nanowire FET device
US20120074523A1 (en) * 2010-09-23 2012-03-29 Michael Goldstein Controlling microelectronic substrate bowing
EP2463892B1 (de) * 2010-12-13 2013-04-03 EV Group E. Thallner GmbH Einrichtung, Vorrichtung und Verfahren zur Ermittlung von Ausrichtungsfehlern
JP2012151670A (ja) * 2011-01-19 2012-08-09 Renesas Electronics Corp 画像投影システム及び半導体集積回路
FR2972848A1 (fr) * 2011-03-18 2012-09-21 Soitec Silicon On Insulator Appareil et procédé de collage par adhésion moléculaire avec minimisation de déformations locales
JP5703896B2 (ja) * 2011-03-29 2015-04-22 凸版印刷株式会社 パターン形成方法およびパターン形成体
JP5642628B2 (ja) * 2011-05-27 2014-12-17 東京エレクトロン株式会社 基板反り除去装置、基板反り除去方法及び記憶媒体
US9354526B2 (en) * 2011-10-11 2016-05-31 Kla-Tencor Corporation Overlay and semiconductor process control using a wafer geometry metric
CN106847814B (zh) 2011-12-19 2020-12-08 英特尔公司 在栅绕式架构中的锗和iii-v纳米线及纳米带的cmos实现
US9012284B2 (en) 2011-12-23 2015-04-21 Intel Corporation Nanowire transistor devices and forming techniques
CN103503112B (zh) 2012-03-30 2016-08-17 新东工业株式会社 半导体元件用基板的弯曲矫正装置及弯曲矫正方法
JP5925579B2 (ja) * 2012-04-25 2016-05-25 ルネサスエレクトロニクス株式会社 半導体装置、電子装置、及び画像処理方法
US20140045411A1 (en) * 2012-08-10 2014-02-13 Yevsey SENDERZON Methods of and apparatus for producing wafers
US9430593B2 (en) 2012-10-11 2016-08-30 Kla-Tencor Corporation System and method to emulate finite element model based prediction of in-plane distortions due to semiconductor wafer chucking
WO2014105044A1 (en) * 2012-12-28 2014-07-03 Memc Electronic Materials, Inc. Methods for post - epitaxial warp prediction and control
US9059241B2 (en) * 2013-01-29 2015-06-16 International Business Machines Corporation 3D assembly for interposer bow
US20150192404A1 (en) * 2013-03-31 2015-07-09 Kla-Tencor Corporation Reducing registration error of front and back wafer surfaces utilizing a see-through calibration wafer
JP5924778B2 (ja) * 2013-06-24 2016-05-25 株式会社リガク 単結晶基板の反り測定方法及び測定装置
US20150044783A1 (en) * 2013-08-12 2015-02-12 Micron Technology, Inc. Methods of alleviating adverse stress effects on a wafer, and methods of forming a semiconductor device
US9397051B2 (en) * 2013-12-03 2016-07-19 Invensas Corporation Warpage reduction in structures with electrical circuitry
US9595525B2 (en) 2014-02-10 2017-03-14 International Business Machines Corporation Semiconductor device including nanowire transistors with hybrid channels
US9269607B2 (en) 2014-06-17 2016-02-23 Globalfoundries Inc. Wafer stress control with backside patterning
US9779202B2 (en) * 2015-06-22 2017-10-03 Kla-Tencor Corporation Process-induced asymmetry detection, quantification, and control using patterned wafer geometry measurements
EP3314658A4 (en) * 2015-06-26 2019-06-26 INTEL Corporation GAN DEVICES ON MODIFIED SILICON SUBSTRATES
JP6540430B2 (ja) 2015-09-28 2019-07-10 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10784100B2 (en) 2016-07-21 2020-09-22 Tokyo Electron Limited Back-side friction reduction of a substrate
JP7164289B2 (ja) 2016-09-05 2022-11-01 東京エレクトロン株式会社 半導体プロセッシング中のオーバレイを制御するための湾曲を制御する応力の位置特定チューニング

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004513509A (ja) * 2000-09-20 2004-04-30 ケーエルエー・テンコール・テクノロジーズ・コーポレーション 半導体製造プロセスのための方法とシステム
CN1550907A (zh) * 2003-05-09 2004-12-01 Asml荷兰有限公司 光刻装置,器件制造方法,以及由此制造的器件
CN103779188A (zh) * 2012-10-19 2014-05-07 台湾积体电路制造股份有限公司 覆盖预测的方法
CN105531811A (zh) * 2013-08-09 2016-04-27 东京毅力科创株式会社 衬底背面纹理
JP2015041640A (ja) * 2013-08-20 2015-03-02 Towa株式会社 基板の搬送供給方法及び基板の搬送供給装置
US20150294917A1 (en) * 2014-04-09 2015-10-15 Tokyo Electron Limited Method for Correcting Wafer Bow from Overlay
CN105448762A (zh) * 2014-08-28 2016-03-30 中国科学院微电子研究所 一种衬底翘曲度的调整方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111868906A (zh) * 2018-03-16 2020-10-30 Asml荷兰有限公司 检查系统、光刻设备和检查方法
CN112074940A (zh) * 2018-03-20 2020-12-11 东京毅力科创株式会社 结合有集成半导体加工模块的自感知校正异构平台及其使用方法
CN110807273A (zh) * 2018-08-03 2020-02-18 东京毅力科创株式会社 基于半导体晶片的局部畸变的确定的全局晶片畸变的改善
CN110807273B (zh) * 2018-08-03 2024-05-14 东京毅力科创株式会社 基于半导体晶片的局部畸变的确定的全局晶片畸变的改善
CN110246788A (zh) * 2019-06-28 2019-09-17 英特尔半导体(大连)有限公司 用于在晶圆沉积薄膜的设备
CN110517968A (zh) * 2019-08-19 2019-11-29 西安奕斯伟硅片技术有限公司 一种翘曲度的控制方法及装置
US20220312585A1 (en) * 2021-03-29 2022-09-29 Beijing Xiaomi Mobile Software Co., Ltd. Welding quality processing method and device, and circuit board
US11617258B2 (en) * 2021-03-29 2023-03-28 Beijing Xiaomi Mobile Software Co., Ltd. Welding quality processing method and device, and circuit board

Also Published As

Publication number Publication date
TWI790391B (zh) 2023-01-21
US20180068860A1 (en) 2018-03-08
US20180068861A1 (en) 2018-03-08
TWI776817B (zh) 2022-09-11
TW202025235A (zh) 2020-07-01
CN107799451B (zh) 2023-05-02
US20200058509A1 (en) 2020-02-20
KR102467979B1 (ko) 2022-11-16
US10475657B2 (en) 2019-11-12
US10453692B2 (en) 2019-10-22
TW202234176A (zh) 2022-09-01
JP2018041080A (ja) 2018-03-15
JP2022000917A (ja) 2022-01-04
US10157747B2 (en) 2018-12-18
US20180342410A1 (en) 2018-11-29
US10811265B2 (en) 2020-10-20
US10622233B2 (en) 2020-04-14
US20180067403A1 (en) 2018-03-08
US20180068859A1 (en) 2018-03-08
KR20180027382A (ko) 2018-03-14
TW201826036A (zh) 2018-07-16
JP7216785B2 (ja) 2023-02-01
JP7164289B2 (ja) 2022-11-01
US10431468B2 (en) 2019-10-01

Similar Documents

Publication Publication Date Title
CN107799451A (zh) 半导体加工中控制曲度以控制叠对的位置特定的应力调节
JP5634864B2 (ja) リソグラフィック・プロセスに於ける、プロセス制御方法およびプロセス制御装置
CN105372945B (zh) 具有增强的覆盖质量的光刻工艺和系统
JP2018041080A5 (zh)
JP4213907B2 (ja) リソグラフィーシステムのフォーカス精度を向上させるための方法およびシステム
US7563561B2 (en) Pattern forming method and a semiconductor device manufacturing method
US12001147B2 (en) Precision multi-axis photolithography alignment correction using stressor film
JP6798017B6 (ja) 基板にわたってパラメータ変動を修正する処理装置及び方法
US11300888B2 (en) Methods of determining stress in a substrate, control system for controlling a lithographic process, lithographic apparatus and computer program product
US20220100103A1 (en) System and method for overlay error reduction
JP2019102495A (ja) 情報処理装置、プログラム、リソグラフィ装置、リソグラフィシステム、および物品の製造方法
US11774863B2 (en) Induced displacements for improved overlay error metrology
US20240203797A1 (en) Three-dimensional multiple location compressing bonded arm-poisedon 4 and poisedon 5 advanced integration
KR20240110795A (ko) 스트레서 막을 사용하는 정밀 다축 포토리소그래피 정렬 보정

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant