TW202025235A - 基於半導體晶圓局部變形判定之全域晶圓變形的改善 - Google Patents

基於半導體晶圓局部變形判定之全域晶圓變形的改善 Download PDF

Info

Publication number
TW202025235A
TW202025235A TW108126894A TW108126894A TW202025235A TW 202025235 A TW202025235 A TW 202025235A TW 108126894 A TW108126894 A TW 108126894A TW 108126894 A TW108126894 A TW 108126894A TW 202025235 A TW202025235 A TW 202025235A
Authority
TW
Taiwan
Prior art keywords
wafer
deformation
semiconductor wafer
pattern
backside
Prior art date
Application number
TW108126894A
Other languages
English (en)
Other versions
TWI790391B (zh
Inventor
約書亞 豪格
那森 愛普
喬爾 埃斯特雷拉
安東 德維利耶
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202025235A publication Critical patent/TW202025235A/zh
Application granted granted Critical
Publication of TWI790391B publication Critical patent/TWI790391B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/32Additional lead-in metallisation on a device or substrate, e.g. additional pads or pad portions, lines in the scribe line, sacrificed conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70783Handling stress or warp of chucks, masks or workpieces, e.g. to compensate for imaging errors or considerations related to warpage of masks or workpieces due to their own weight
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • G06F30/23Design optimisation, verification or simulation using finite element methods [FEM] or finite difference methods [FDM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices With Unspecified Measuring Means (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Saccharide Compounds (AREA)
  • Investigating Or Analyzing Materials By The Use Of Fluid Adsorption Or Reactions (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

本文所揭示者為有關基於半導體晶圓的局部變形之判定來改善(例如,校正)全域晶圓變形的技術。在此中,變形為平面外變形(OPD)或平面內變形(IPD)。針對此變形之參考平面基於藉由假定為平坦的半導體晶圓之表面所共享的平面。提交本發明摘要時應理解為其不會用於解釋或限制申請專利之範圍或意義。

Description

基於半導體晶圓局部變形判定之全域晶圓變形的改善
(相關申請案之交叉引用)本申請案有關2016年9月5日提交的美國臨時專利申請案序號第62 / 383,549號、2017年9月5日提交之美國非臨時專利申請案第15 / 695,966號、和2018年8月3日提交的第16 / 054,725號,並主張其優先權,其全部內容以引用的方式併入本文中。
本發明係關於基於半導體晶圓局部變形判定之全域晶圓變形的改善。
半導體晶圓之典型製造過程涉及許多步驟。例如,典型的進入晶圓(例如,裸矽晶圓)開始以絕緣層(亦即,例如玻璃之介電膜)的沉積。此後,使用微影術將圖案遮罩層鋪設在絕緣層上。然後,使用蝕刻從這些層選擇性地移除材料。此後,移除(亦即剝離)光阻劑遮罩層,並移除(亦即清潔或拋光)殘留物和顆粒。最後,為晶圓之每一半導體裝置沉積(亦即沉積)導電材料。簡言之,簡化和典型的步驟包括沉積、微影、蝕刻、剝離、清潔、和沉積。當然,經常重複這些步驟之許多步驟以形成多數層。
根據其設計,每一層都具有以一定方式鋪設之材料圖案,以致其與相鄰層的對應材料對齊。例如,一層之觸點與下一層的觸點對齊。錯位可造成短路和連接故障,從而影響有效之良率和成本。相鄰層的材料之圖案的對齊在本文中稱為覆疊。
所述覆疊假定每一層為完全平坦或接近平坦的。然而,通常晶圓為不平坦的,且實際上晶圓可顯著彎曲的。這稱為晶圓弓形度。因為晶圓為不平坦的,所以弓形晶圓上之一層同樣也不平坦的。
半導體晶圓之弓形度是自由的、未夾緊晶圓之中間表面的中心點從中間表面到參考平面之偏差,於此藉由等邊三角形的三個角落界定參考平面。
根據本發明之實施例有關測量半導體基板上的膜應力,以最小化在半導體晶片製造過程期間之圖案覆疊誤差。於一實施例中,方法包括基於所獲得的形狀資料來判定半導體晶圓之多數離散像素的每一者之局部變形。隨後估計在每一像素處的一或更多力量,所述力量會產生多數像素之每一者的判定局部變形並促成半導體晶圓之全域變形。其次,系統生成背側層的改善圖案,當施加至半導體晶圓背側之對應離散像素時,針對多數離散像素的每一者並使用針對每一像素之估計力量,所述改善圖案改善半導體晶圓的全域變形。在另一實施例中,上述方法可於包括晶圓形狀計量器之系統上實施,以獲得半導體晶圓的形狀資料,其中所述形狀資料代表半導體晶圓之全域變形。所述系統亦可使用晶圓模擬器,以至少部分地基於所獲得的形狀資料來判定半導體晶圓之多數離散像素的每一者之局部變形。
所述系統亦可包括應力估計器,以估計在每一像素的一或更多力量,所述力量產生多數像素之每一者的判定局部變形,並促成半導體晶圓之全域變形。前述部件可將資訊提供至背側圖案生成器,以針對多數離散像素的每一者並使用針對每一像素之估計力量來生成背側層的改善圖案,當將其施加至半導體晶圓之背側的對應離散像素時,改善所述半導體晶圓之全域變形。
於本發明的另一實施例中,最小化基板弓形度之背側圖案可包括獲得半導體晶圓的形狀資料,其中所述形狀資料代表半導體晶圓之變形,計算半導體晶圓的等雙軸晶圓應力,其中此計算係基於所獲得之形狀資料。隨後藉由獲得半導體晶圓的初始定制參數、基於所計算之等雙軸晶圓應力和所獲得的至少部分定制參數來計算晶圓變形、將剩餘晶圓形狀判定為所獲得之晶圓形狀資料與所計算的晶圓形狀之間的差、更新定制參數以減少殘留晶圓形狀或晶圓變形或兩者、藉由重複晶圓形狀計算和具有不同定制參數之殘留晶圓形狀判定來最佳化塔板理論方程式的解決方案、及至少部分地基於所述解決方案生成改善圖案來改善半導體晶圓的變形,當施加至半導體晶圓之背側時該改善圖案能夠改善半導體晶圓的變形。
本文所揭示者為有關基於判定半導體晶圓的局部變形來改善(例如,校正)全域晶圓變形之技術。在此中,變形為平面外變形(OPD, out-of-plane distortion)或平面內變形(IPD, in-plane distortion)的任一者。用於此變形之參考平面係基於假定為平坦的半導體晶圓之表面所共享的平面。
因此,平面外變形涉及晶圓之材料的撓曲、起皺、彎曲等而在參考平面上方及/或下方延伸。因此,具有平面外變形之晶圓為不平坦的。
相反地,平面內變形涉及材料沿著參考平面之膨脹、拉伸、或壓縮。因此,晶圓可仍為平坦的,但是材料之密度為不均勻的,及/或晶圓之形狀為不均勻的。
圖1顯示變形之晶圓100的範例。示例之變形的晶圓100描述為不同之晶圓表示圖110、112、114,其以逐漸增加的變形示出。晶圓表示圖110顯示為平坦的。晶圓表示圖112具有淺碗形狀。晶圓表示圖114具有更深的碗形。
示例變形之晶圓100的形狀可藉由在平面外和平面內作用之應力或力量所造成。膨脹和收縮力量(亦即x方向和y方向的力量)於與晶圓本身相同之平面中推動或拉動晶圓,並經常產生一些如藉由119的方向箭頭所表示之平面外變形。
藉由116所示的直立變形造成藉由120所示之水平移動。當將晶圓夾持在機台上時,水平移動120可造成IPD並促成覆疊。
另外,水平的力量可造成晶圓於水平方向中膨脹或收縮。這些力量可造成額外之變形和覆疊。
在此中,全域晶圓變形意指整個半導體晶圓的變形,而不僅僅是其一部分。亦即,晶圓之全域變形係表現為整體或整體的相當大部分之變形。取決於實施方式,針對全域變形之晶圓的相當大部分呈現橫越所述晶圓的超過30%、超過50%、或超過65%。例如,晶圓表示圖114之大體上碗形為全域變形的範例。
對比之下,局部變形意指僅半導體晶圓的一部分而不是整個晶圓之變形。亦即,晶圓的局部變形為在整體之很小部分上表現出來的變形。取決於實施方式,針對全域變形之晶圓的很小部分呈現佔所述晶圓之小於30%、小於15%、或小於5%。
例如,晶圓表示圖114的區域122之局部變形為局部變形的指示。如本文中以一實施方式所使用,局部變形適用於晶圓之每一離散部分或區域。如本文所使用,晶圓的離散部分或區域稱為像素。
晶圓弓形度(亦即一階變形)或晶圓翹曲(亦即二階變形)為全域變形的範例,且尤其是全域平面外變形。
本文所敘述之技術可包括判定半導體晶圓的多數離散像素之每一者的局部變形。所述判定基於所述晶圓之形狀資料。形狀資料表示晶圓的全域變形。
對於每一像素,本文所敘述之技術可包括對像素的一或更多力量(例如,應力)之估計,所述力量產生所判定的像素局部變形並促成半導體晶圓之全域變形。對於每一像素,本文所敘述的技術可生成背側層之改善(例如,校正)圖案,當施加至半導體晶圓背側的對應離散像素時,所述技術改善(例如校正)半導體晶圓之全域和局部變形。
基板(例如,晶圓)的頂側典型承納膜堆疊、所製造之裝置、部分製造的裝置、特徵部等。因此,基板之頂側也可已知為工作表面。基板的與頂側相反之一側為基板的背側。
在半導體製造中,對於在其上沉積及/或製造之裝置與諸多膜的覆疊物,基板典型地呈現變形。此等製程可包括傾向於使基板變形的退火和其他處理。然而,本文之技術校正基板的此變形。
隨著半導體裝置製造技術之進步,對用於製造半導體裝置的微影術系統和塗佈機/顯影器之需求不斷增加。這包括對基板對齊的準確度之日益增長的要求。基板典型安裝在亦稱為晶圓平台之卡盤上。於暴露期間,暴露在基板上的特徵部需要覆疊基板上之現有特徵部。為了獲得所期望的覆疊性能,於暴露之前將基板與基板平台對齊。覆疊誤差為基板的預測位置和實際位置之間的差。
平坦化之基板為具有最小的平面外變形之基板。假定為平坦的基板確保覆疊層之對齊。然而,由於晶圓上的水平力量,也存在變形。亦即,平面內變形。本文所敘述之技術的至少一些實施例解決了基板之IPD,其可由於來自撓曲和拉伸的變形。
圖2顯示出範例晶圓變形改善系統200。範例晶圓變形改善系統200為此處所敘述之技術的實施方式之範例。
範例晶圓變形改善系統200包括晶圓形狀計量器210、目標-晶圓模擬器220、應力估計器230、背側圖案生成器240、和背側圖案施加器250。這些部件的每一者可至少部分地藉由計算硬體、韌體、或硬體、韌體和軟體之組合加以實現。
晶圓形狀計量器210獲取關於目標-晶圓205的晶圓形狀資料。目標-晶圓205為呈現出平面外及/或平面內變形之基板。參考平面線206不是目標-晶圓205的一部分,而僅說明平面式或平坦參考點。
目標-晶圓205具有至少部分地製造在目標-晶圓205之頂部表面207上的多數半導體結構。例如,此等結構可包括閘極、電晶體、溝道、通孔、硬遮罩、膜等。因此,目標-晶圓205可為半導體級基板。晶圓具有非平面式背側表面209。由於該多數半導體結構之製造,背側表面209為非平面式。背側表面209與頂部表面207(亦已知為工作表面)呈反向。
注意整個目標晶圓205可具有弓形彎曲(包括頂部表面207),且此弓形彎曲至少在背側表面209中為明顯的。還應注意的是,最初,目標晶圓205可為平面式,其中表面高度偏差可保持在約100奈米或甚至10奈米之公差。另外,請注意,本文中的非平面是相對微米距離或微米縮放而言的。例如,大於約1微米至約300微米之表面高度偏差可認為是非平面式的。因此,通過藉由肉眼檢查,基板可看起來完全平坦,但是相對微影系統和其他此類系統之分辨能力,基板未足夠平坦。
傳統上,給定的基板可發展出介於1到400微米之間的弓形彎曲或撓曲。儘管所述暴露有時候可解釋一些撓曲,但是覆疊層仍然受到撓曲之影響。
晶圓形狀計量器210直接測量或從度量工具(例如,由KLA-Tencor所製造的圖案化晶圓幾何形狀工具)接收目標晶圓205之曲線或形狀的測量。這些測量可稱為撓曲測量或晶圓形狀資料。可使用諸多機制來完成此撓曲測量,例如光學偵測、反射技術、和聲音測量。此測量實質上測量平面外變形。
例如,這可包括產生給定基板之x、y或徑向位置,其包括與平面坐標系統相關之z高度測量或相對撓曲。這可為正值或負值以區分凸部和凹部,但可使用其他比例縮放系統。因此,撓曲特徵藉由基板上的橫向位置(亦即,空間位置)來映射高度中之相對差異。
圖1的圖130為從晶圓所獲得之晶圓形狀資料的二維(2D)圖之範例。陰影部分指示如在晶圓區域上所繪製的平面外(亦即,Z位置)變形。
晶圓形狀計量器210可將空間濾波器應用至晶圓形狀資料,並使用數學函數(例如,任尼克多項式)處理所述資料。晶圓形狀計量器210之操作可敘述為獲得半導體晶圓的形狀資料,其中形狀資料表示半導體晶圓之全域變形。
目標-晶圓模擬器220基於來自晶圓模型225的資料並與應力估計器230合作來生成目標-晶圓205之模擬或模型。晶圓模型225為遭受理想化膜應力(例如,等雙軸膜應力)的初始平坦晶圓之高度詳細的物理模型。例如,這可藉由使用有限元素(FE)模型來完成,所述FE模型將FE方法(FEM)用於有限元素分析(FEA)。
FEM為用於解決工程和數學物理問題之數值方法。感興趣的典型問題領域包括結構分析、熱傳、流體流動、質量運送、及電磁電位。這些問題的分析解決方案大致上需要對用於偏微分方程式之邊界值問題的解決方法。FEM將大問題細分為較小、更簡單之部分,稱為有限元素。然後,將對這些有限元素建模的簡單方程式組合成對整個問題建模之較大的方程式系統。
首先,目標-晶圓模擬器220將理想化之晶圓模型225離散化成區域或區塊的網格或陣列。所述網格可例如笛卡爾網格、直線網格、曲線網格、或結構化網格。在本文中,這些區塊稱為「像素」,因為其類似於電視螢幕之圖像元件(亦即,像素)。在本文中,此動作可為離散化、資料群集、或像素化。
圖3顯示具有施加至其上的像素之笛卡爾網格的示範晶圓模擬300。像素按順序編號為A1-J6,使第一個字母代表一行(row),而數字代表所述行中之列(column)位置。提供此示範晶圓模擬300僅用於說明目的。本文提及範晶圓模擬300以更好解釋本文所敘述之技術的一或更多實施例。
在像素化之後,示範晶圓變形改善系統200的部件在最低層次上對目標晶圓205之模擬的像素進行操作。因此,理想化之晶圓模型225的資料係於對應於每一像素之區塊中加以收集在一起。典型地,目標晶圓205的模擬具有晶圓之微小部分的精細層次細節。
於像素化之後,針對所述像素收集每一像素的相關資料。亦即,像素之相關資料包括可影響變形的資料。例如,在目標晶圓205之模擬中,與理想化晶圓模型225的像素F4對應之區域的所有相關資料收集在一起並應用至像素F4。
應力估計器230基於所述晶圓之頂部表面207上的膜來估計目標晶圓205上之應力。所述估計基於藉由晶圓形狀計量器210所獲得的形狀資料。這可經由常規方法或新穎方法來完成。例如,可採用斯托尼方程式(Stoney equation)來基於晶圓之形狀判定在晶圓上引起的應力。
圖1之圖140為從晶圓形狀資料的斜率之計算得出的估計之平面內變形(IPD)資料之2D圖的範例。陰影部分指示如於晶圓區域上所繪製之IPD的相對量。對於在晶圓之一側上的受應力膜,資料點於給定方向中之斜率與IPD成比例,這在夾持晶圓時會促成覆疊誤差。
經過此方程式,可將IPD近似為晶圓弓形度的斜率:
Figure 02_image001
Figure 02_image003
方程式1
第一項代表由於膜應力之平面內拉伸,且第二項代表藉由膜應力所造成的撓曲。利用此方程式,可將所測得之晶圓弓形度(其為晶圓形狀資料的範例)轉換為IPD。
當將晶圓放置在機台上時,減少大部分撓曲分量。然後,藉由微影工具造成拉伸分量之大一部分。其餘分量促成覆疊。
柔性基板(例如晶圓)上的薄膜中之應力會引起基板的屈曲。通常,基板比膜厚幾個數量級,導致基板之小的和純彈性變形。於此情況下,斯托尼方程式由所測得之基板曲率得出在膜中的應力。斯托尼方程式含有膜和基板的厚度及基板之彈性性質。典型地,基板的彈性性質藉由E(楊氏模數(Young's modulus))和ν(泊松比(Poisson's ratio))所指定。
斯托尼方程式為與晶圓形狀w和薄膜塗層之應力σf 相關的第一個理論。斯通尼方程式藉由以下方程式所給與:
Figure 02_image005
方程式2
在此σf 和hf 分別為膜應力和厚度;Es 、νs 、hs 分別為基板之楊氏模數、泊松比、和厚度;且κ為晶圓的變形曲率。曲率κ可藉由取晶圓形狀之二階導數來獲得,
Figure 02_image007
使用藉由目標-晶圓模擬器220所提供的離散化晶圓模擬,應力估計器230估計作用於晶圓模擬之每一像素上的力量。在每一像素處之力量的估計考慮了力量對其自身像素之影響以及所述力量對其他像素的影響。確實,像素力量對每一像素(包括其自身)之影響都作為因素計入所述力量的估計。基於與晶圓形狀資料非常匹配之力量的影響來選擇像素力量之幅度。
圖1的圖150為來自晶圓形狀資料之估計力量的2D圖之範例。陰影部分指示如在晶圓區域上所繪製的相對力量之大小。這可為藉由取所估計的IPD之斜率以獲得與應力在數學上相關的曲率來計算。
這樣一來,所估計之像素力量為假定為施加於整個像素之恆定的力量。於本文中,這可稱為具有恆定膜應力之啟動像素。
另外,目標-晶圓模擬器220和應力估計器230協同工作以交互地改善所述估計。也就是說,每一像素的力量之估計值都交互地改善,以最佳化其與將產生具有所獲得晶圓形狀資料之變形的晶圓之力量的匹配。可藉由創建和使用每一像素之計算程式庫來輔助此製程。具有此類程式庫的效應為減少用於每次迭代所需之重新計算量。
使用有限元素模擬創建這些程式庫。示範程式庫包括針對數個膜應力分佈的目標-晶圓之變形。藉由將晶圓的頂部表面離散化成許多小塊(亦即像素),並對每一塊一次施加均勻之應力,生成示範程式庫。
有限元素模擬輸出與所述小塊的效果相對應之變形。這樣一來,薄膜應力分佈可藉由橫跨所有小塊的應力之離散分配來表示。然後,藉由疊加規則,所有小塊都可加起來以形成整體變形。
此程式庫可用於與變形進行比較,以獲得膜應力分佈。藉由經過一最佳化方法變動每一小塊中之應力(其改變所述小塊中的變形貢獻),從該變形將膜應力分佈解算出。
來自模擬程式庫方法之結果可與整體有限元素模擬相比擬。然而,模擬程式庫方法通常在一分鐘內即可計算出解答,而整體有限元素模擬則可花費數倍長的時間。
可將目標-晶圓模擬器220和應力估計器230之配合操作敘述為基於所獲得的形狀資料來判定半導體晶圓之多數離散像素的每一者之局部變形,並估計在每一像素處的一或更多力量,其產生該多數像素之每一者的經判定之局部變形並促成半導體晶圓的全域變形。
背側圖案生成器240接收作用在目標-晶圓模擬之每一像素上的力量之最佳化估計,這是目標-晶圓模擬器220和應力估計器230的配合之結果。用於多數離散像素的每一者並使用每一像素用之估計力量,背側圖案生成器240生成背側層的改善圖案,當將其應用至半導體晶圓之背側的對應離散像素時,改善半導體晶圓之全域和局部變形。
如本文所使用的,所述改善包括減小目標晶圓之變形。在一些實施例中,所述作用可稱為校正。無論如何,改善作用導致背側層之施加,其減小平面外及/或平面內變形。各種因素用於生成背側圖案。那些因素至少部分包括背側膜之壓縮/拉伸應力、膜的厚度、及背側膜之設計圖案/應力分配輪廓。
背側圖案生成器240可將背側圖案儲於儲存器245中作為此類圖案的數位檔案(例如影像)或資料庫。
可替代地,背側圖案生成器240可生成一組指令,這些指令指導適當之工具以產生背側圖案。所述指令組可直接發送至此一工具,或可儲存在儲存器245中。
背側圖案施加器250為產生背側圖案並將其沉積至目標晶圓的背側之工具或工具組。其結果是,減小並且可能消除所述目標晶圓的變形。
可藉由在背側表面上沉積一或更多膜以輔助變形校正來完成藉由背側圖案施加器250所施行之改善作用。圖2顯示基板255,其為目標晶圓的校正版本。基板255具有頂部表面257和背側表面,而於背側表面上沉積有背側膜259。背側膜259沉積在背側表面上,所述背側表面例如可將基板向內拉或向外推。
於示範系統200之一些實施例中,所述系統可假定目標晶圓為理想的。亦即,晶圓上之膜為均勻的。在其他實施例中,不假定如此,且實際上,預期並考量晶圓上之膜的不均勻性。
晶圓及其處理製程中存在許多非理想情況,這可增加預測結果與實際結果之間的誤差。此非理想情況包括(例如):整個晶圓之背側膜厚度均勻性、整個晶圓之校正膜所暴露的設定劑量與實際劑量之間的變動、背側圖案之放置、整個晶圓的那些背側圖案之蝕刻和蝕刻輪廓中的變動、及由於模擬保真度中之限制而導致的系統誤差。
定期更新或隨每一晶圓更新之儲存的校準檔案之實驗判定的資料庫用於重新界定在給定像素上可能出現之應力的上下邊界。然後以這些新邊界最佳化背側圖案。
圖4為說明示範製程400之流程圖,其基於半導體晶圓的局部變形之判定來實施本文所述的用於改善全域晶圓變形之技術。示範製程400至少部分地藉由示範晶圓變形改善系統200所施行。為簡單起見,施行所述操作的作用器稱為「系統」。當然,取決於實現方式,可藉由系統之一部件、系統的多數部件、或藉由非特定為系統之一部分的裝置來施行此等作用。
在方塊410處,系統獲得半導體晶圓之形狀資料。圖1的圖130為所述形狀資料之代表圖。所述資料可從目標晶圓的直接或間接測量獲得。形狀資料代表半導體晶圓之全域變形。
於方塊420處,系統基於所獲得的形狀資料來判定半導體晶圓之多數離散像素的每一者之局部變形。系統將晶圓的映射圖或模型加以像素化。然後,系統判定或估計用於每一像素之局部變形量(例如,平面外及/或平面內變形)。
在一些實施方式中,系統直接將形狀資料與程式庫進行比較。一最佳化迴圈同時比較像素的所有點和效果。
局部變形之判定可包括將多數離散像素映射至半導體晶圓上。每一多數離散像素映射至半導體晶圓的一區域。對於多數離散像素之每一者,所述判定亦可包括計算代表所述像素的變形幅度之局部變形值。
取決於示範製程400的實施方式,全域變形可包括僅平面外變形、僅平面內變形、或兩種類型之變形。類似地,局部變形可包括僅平面外變形、僅平面內變形、或兩種類型的變形。
在方塊430處,系統估計於每一像素處之一或更多力量,所述力量產生該多數像素的每一者之判定的局部變形並促成半導體晶圓之全域變形。在每一像素處的一或更多力量之估計考慮該一或更多力量對其自身像素的影響以及該一或更多力量對其他像素之影響。實際上,像素力量對每一像素(包括其自身)的影響都把其作為力量估計之因素計入。基於力量的影響來估計像素力量之幅度,所述力量與晶圓形狀資料緊密匹配。
在每一像素處的一或更多力量之估計可包括:對複製所述像素的局部變形並促成全域變形之像素的力進行建模;以不同之力量迭代地施行建模,直到為每一像素找到一或更多力量的最佳值;及為其像素分派該一或更多力量之最佳化值。
在方塊440處,對於多數離散像素的每一者並使用針對每一像素之估計力量,系統生成背側層的改善圖案,當將其施加至半導體晶圓背側之對應離散像素時,其改善半導體晶圓的全域和局部變形。
在一些情況下,改善圖案之生成包括儲存所述改善圖案的影像或產生指令,以使用所生成之改善圖案來引導工具將背側層施加至半導體晶圓的背側。
於方塊450,系統將背側層施加至半導體晶圓之背側。根據所生成的改善圖案對背側層進行圖案化。此作用將減小晶圓之全域變形。
在一些實施方式中,系統將關於藉由背側層進行調整的資訊發送至半導體製造過程中之一或更多工具,以致那些工具可將用於其製程之調整考慮在內。這可稱為將改善圖案的影響前饋到製造過程中之其他工具。
微影工具為系統可前饋此類資訊的半導體製造工具之範例。典型上,微影工具的掃描器在對示範製程400可施行之覆疊的校正沒有任何期望的情況下考量覆疊層。
於微影工具之對齊製程期間,掃描器實施一組校正參數(例如,放大倍數、旋轉量等),以創建最小的覆疊殘留。典型地,處理一些晶圓,並測量覆疊層以判定用於這些參數之最佳設定,然後在反饋迴路中對其進行連續調整。由於施加至晶圓背側的任何改善圖案將會影響變形,且如此影響這些參數之最佳化值,所述系統可將變形資訊或甚至是新的最佳化校正結果前饋至掃描器。
圖5為流程圖,說明示範製程500,其基於半導體晶圓之局部變形的判定來實施本文所述之用於改善全域晶圓變形的技術。示範製程500至少部分地藉由示範晶圓變形改善系統200所施行。為簡單起見,施行所述操作之作用器稱為「系統」。當然,取決於實施方式,可藉由系統的一部件、系統之多數部件、或藉由非特定為系統的一部分之裝置來施行此等作用。
示範製程500實現稱為曲率方法的方式。此方式考慮不均勻之膜應力及對源自非等雙軸應力的形狀之校正。以下方程式(其為斯托尼方程式的擴展)是可用來考慮到不均勻膜應力之方程式的範例:
Figure 02_image009
Figure 02_image011
Figure 02_image013
Figure 02_image015
方程式3
這些是可使用之塔板理論方程式的範例。使用此類方程式,不均勻之膜應力可與局部曲率
Figure 02_image017
有關。使用所測得的晶圓弓形度求解此等方程式可得出曲率方法之背側校正圖案。
在方塊510,系統獲得半導體晶圓的形狀資料。圖1之圖130為形狀資料的代表。所述資料可從對目標晶圓之直接或間接測量中獲得。形狀資料代表半導體晶圓的全域變形。另外,系統可將空間濾波器應用至晶圓形狀資料,並使用數學函數(例如,任尼克多項式)處理所述資料。
於方塊520,系統計算晶圓曲率。例如,這可藉由基於所獲得之形狀資料找到曲率來完成。這可至少部分地藉由使用沿x方向和y方向中之給定數量的測量點計算z高度形狀資料的斜率、且接著再次計算所述斜率資料之斜率以獲得曲率來完成。
於方塊530,系統計算等雙軸晶圓應力。所述系統藉由應用空間濾波器來平滑資料;然後所述系統採用經濾波資料的數值導數來獲得IPD和曲率。所述系統將上述方程式3中所概述之塔板理論與定制參數一起應用,以計算晶圓應力。
在方塊540處,系統至少部分地基於定制參數545來計算晶圓形狀。定制參數545包括例如背側層的數量、膜性質、覆蓋邊界、和像素尺寸。
在方塊550,系統計算殘留晶圓形狀。殘留晶圓形狀定義為晶圓510的形狀資料與計算晶圓形狀540之間的差。
於方塊560,系統更新。可更新以下者:全域應力映射圖乘數;移位應力映射圖均勻性;和非等雙軸應力校正圖案。更新調整參數以減少殘留之晶圓形狀。這些參數可包括:全域應力調整、設計來抵消特定形狀的已知應力圖案、由非等雙軸應力行為所造成之已知形狀、對高應力區域和低應力區域之間的應力斜率之修改、及所述模型的其他分析項。
方塊540、550和560共同形成最佳化迴圈,重複此最佳化迴圈直至找到最佳化結果。在此迴圈中,系統基於原始資料對分析項之數量進行最佳化,以將其包括在塔板理論方程式中,並解決應力問題。
系統最佳化藉由相反應力的圖案化膜所覆蓋之壓縮或拉伸基底層的雙重堆疊之厚度,以捕獲先前判定的最大可能應力範圍。系統將應力映射圖轉換為膜之校正覆蓋率的百分比映射圖。然後,系統將給定區段中之百分比覆蓋率轉換為具有所述百分比覆蓋率的圖案。
例如,可最佳化藉由相反應力之圖案化膜所覆蓋的壓縮或拉伸基底層之雙堆疊的厚度,以捕獲最大可能之應力範圍。考慮一晶圓,在其上判定藉由圖案所造成的局部平面內力量(例如應力*厚度)從-200N / m變動至+ 500N / m。
當一系列度量(例如殘留晶圓形狀、殘留IPD、局部應力變動等)滿足預定義值時,最佳化結束。可期望的是生成消除頂側應力之背側圖案。然而,當生成圖案時,系統應小心避免於給定區域中移除過多的膜(例如,超過75%)(例如,因為掃描器卡盤栓銷之直徑為50um),或在可靜置掃描器卡盤栓銷於膜上之區域與不可靜置於膜上的區域之間存在不均勻性的風險。
給定此範例之這些約束,然後在-433 N / m的毯式膜上方以(500-(-200))/ 0.75 = 700 N / m之平面內力量生成圖案化的背側膜。
於方塊570,系統生成最佳化之背側圖案。百分比覆蓋率轉換為圖案,所述圖案保證在特定於工具要求(例如,卡緊)的更精細級別上之最小覆蓋,並將可提供的百分比之保真度最大化。
圖6A為說明示範製程600的流程圖,所述製程600基於半導體晶圓之局部變形的判定來實現用於改善全域晶圓變形之如本文所述的改善圖案之生成。亦即,示範製程600可以是示範製程500的方塊570及/或示範製程400之方塊440的實施方式之一部分。
示範製程600至少部分地藉由示範晶圓變形改善系統200所施行。為簡單起見,施行所述操作的作用器稱為「系統」。當然,取決於實施方式,可藉由系統之一部件、系統的多數部件、或藉由不特定是系統之一部分的裝置來施行此等作用。
圖6B說明藉由示範製程600所產生之示範校正映射圖的細節。圖案650代表藉由示範製程600所產生之示範最終改善圖案。放大方塊652是圖案650的一小部分。
在方塊610處,系統接收校正映射圖,所述校正映射圖為初始改善圖案之範例。校正映射圖指示最終背側圖案應具有的應力或力量。示範製程600準確地判定最後圖案之特定和低階細節將如何完成背側圖案所需的反作用力和應力。
校正映射圖為施加至晶圓背側之像素的類比應力量,所述應力量抵消晶圓正面上之像素的變形。但是,在晶圓背側沒有膜且以膜區域之數位圖案施加應力。圖6B的正方形660顯示四個子方塊中之三個不同數位圖案的範例。
設計或最佳化背側圖案(或更一般地說,改善圖案)以將圖案施加至晶圓片背側時而減少變形。此圖案例如藉由示範製程500的方塊560及/或示範製程400之方塊440輸出。
於方塊630處,系統產生覆蓋佈局。數字圖案為覆蓋佈局。在覆蓋佈局中,每一像素(例如6 mm)細分為較小的像素(例如200 um),這些較小的像素具有從覆蓋程式庫630選擇之圖案。子方塊(664、665、666、667)為較小像素的範例。
這些圖案於確保晶圓與掃描器卡盤之間的水平接觸之同時達成子像素所期望的應力。這些子像素亦允許用於模糊子像素與像素之間的邊界,以使像素之間的應力更連續地變化。
在方塊640,系統產生用於創建最後背側圖案之配方或指令。為了創建配方,將覆蓋佈局轉換為處理工具(例如,暴露工具)的機器語言中之一組指令。
例如,考慮背側圖案650。圖案650包括方塊的陣列,例如方塊652。每一方塊(例如,方塊652)進一步由多數子方塊所構成。如所描述,方塊652具有四個子方塊(664、665、666、667)。
這些子方塊(664、665、666、667)之每一者設計來共同產生子方塊的特定反作用力。為了達成這一點,在每一子方塊中使用結構之重複圖案,其係已知用以達成用於所述子方塊的期望反作用力。
正方形660為四角區域之放大圖,方塊652的所有四個子方塊(664、665、666、667)在此會合。如所描述,在正方形660中此等子方塊的每一對應部分具有其自己的數位圖案。每一子方塊之數位圖案與用於所述子方塊所需的反作用力相匹配。
另外,在一些實施方式中,可能期望避免方塊與子方塊之間的劇烈圖案改變。因此,那些實施方式可藉由在離那些邊界很小之距離內採用過渡圖案來平滑方塊和子方塊之間的邊界。附加和替代實施方式附註
於示範性實施方式之以上敘述中,出於解釋的目的,闡述具體數字、材料配置、和其他細節,以便更好地解釋如所主張之發明。然而,對於本領域的技術人員將顯而易見的是,可使用與本文所敘述之示範性細節不同的細節來實踐所主張之發明。在其他情況中,省略或簡化眾所周知的特徵部,以闡明示範性實施例之敘述。
發明人希望所敘述的示範性實施方式為主要範例。發明人不希望這些示範性實施方式限制所附申請專利之範圍。相反,發明人已預料到,所主張的發明亦可會同其他當前或未來技術以其他方式來體現和實現。
再者,在本文中所使用之「示範性」一字意指用作範例、實例、或說明。本文中敘述為「示範性」的任何態樣或設計不必然解釋為比其他態樣或設計較佳或有利。相反,示範性一字之使用旨在以具體方式呈現概念和技術。例如,「技術」一詞可意指如藉由本文所敘述的上下文所指示之一或更多裝置、設備、系統、方法、製造品、及/或電腦可讀取指令。
如本文件中所使用的,「或」一詞旨在意指包括性之「或」而不是排他性的「或」。也就是說,除非另有說明或從上下文清楚得知,否則「X採用A或B」旨在意指自然包含型置换之任何一者。也就是說,如果X採用A;X採用B;或X採用A和B兩者,則在任何前述情況下都滿足「X採用A或B」。另外,如於本文件中所使用的,「及/或」一詞旨在意指所陳述之可能性的任一者或兩者為有效或真實的。也就是說,除非另有說明或從上下文清楚得知,否則「X採用A及/或B」旨在意指A或B之任一者或A和B兩者。
於本申請案和所附申請專利範圍中使用的冠詞「一」和「一個」應一般性解釋為意指「一或更多」,除非另有說明或從上下文中清楚地指向單數形式。
這些製程說明為邏輯流程圖中之方塊的集合,所述邏輯流程圖代表可單獨以機械方式或與硬體、軟體、及/或韌體之組合來提供的一系列操作。於軟體/韌體之上下文中,方塊代表儲存在一或更多電腦可讀取儲存媒體上的指令,當藉由一或更多處理器執行時,指令施行所列舉之操作。
注意,所敘述製程的順序不意欲理解為限制,並能以任何順序組合任意數量之所敘述的製程方塊,以實現所述製程或替代製程。另外,於不脫離本文所述主題之精神和範圍的情況下,可從製程中刪除個別方塊。
「電腦可讀取媒體」一詞包括電腦儲存媒體。例如,電腦儲存媒體可包括但不限於磁性儲存裝置(例如,硬碟、軟碟、和磁條)、光碟裝置(例如,光碟(CD)和數位多功能影音光碟(DVD))、智慧卡、快閃記憶體裝置(例如,隨身行動碟、記憶棒、鍵驅動器、和SD卡)、及揮發性和非揮發性記憶體(例如,隨機存取記憶體(RAM)、唯讀記憶體(ROM))。
除非上下文另有指示,否則本文中所使用之「邏輯」一詞包括適於施行針對邏輯所敘述的功能之硬體、軟體、韌體、電路系統、邏輯電路系統、積體電路系統、其他電子部件及/或其組合。
100:晶圓 110:晶圓表示圖 112:晶圓表示圖 114:晶圓表示圖 116:直立變形 119:方向箭頭 120:水平移動 122:區域 130:圖 140:圖 150:圖 200:晶圓變形改善系統 205:目標-晶圓 206:參考平面線 207:頂部表面 209:背側表面 210:晶圓形狀計量器 220:目標-晶圓模擬器 225:晶圓模型 230:應力估計器 240:背側圖案生成器 245:儲存器 250:背側圖案施加器 255:基板 257:頂部表面 259:背側膜 300:晶圓模擬 400:製程 500:製程 545:定制參數 600:製程 630:覆蓋率程式庫 664:子方塊 650:圖案 652:方塊 660:正方形 664:子方塊 665:子方塊 666:子方塊 667:子方塊
圖1顯示根據本文所敘述之技術的變形晶圓之不同示例表示圖。
圖2係根據本文所敘述的技術之示例晶圓變形改善系統200。
圖3係根據本文所敘述的技術之離散化晶圓模擬的示例表示圖。
圖4係根據本文所敘述之技術的示例製程之流程圖。
圖5係根據本文所敘述的技術之示例製程的流程圖。
圖6A係根據本文所敘述之技術的示例製程之流程圖。
圖6B係根據本文所敘述的技術之改善圖案的生成之圖示。
實施方式章節參考附圖。在附圖中,參考數字的最左側位數標識所述參考數字首次出現之附圖。遍及圖式,相同的數字論及相似之特徵和部件。
400:製程

Claims (20)

  1. 一種方法,包含: 獲得一半導體晶圓的形狀資料,其中該形狀資料表示該半導體晶圓之全域變形; 基於所獲得的該形狀資料,判定該半導體晶圓之多數離散像素的每一者之局部變形; 估計在每一像素處的一或更多力量,該一或更多力量產生該多數像素之每一者的經判定的該局部變形,並促成該半導體晶圓之該全域變形; 對於該多數離散像素的每一者並使用針對每一像素之該等估計的力量,生成背側層的改善圖案,當將該改善圖案施加至該半導體晶圓的背側之對應離散像素時,該改善圖案改善該半導體晶圓的該全域變形。
  2. 如申請專利範圍第1項之方法,更包含將該背側層施加至該半導體晶圓的背側,其中該背側層根據所生成之該改善圖案進行圖案化。
  3. 如申請專利範圍第1項之方法,其中該改善圖案的生成包括: 儲存該改善圖案; 儲存該改善圖案之影像;或 產生指令以指導一工具使用該生成的改善圖案將該背側層施加至該半導體晶圓之背側;或 判定 施加至該半導體晶圓的該背側層之該改善圖案的尺寸;或 判定待施加至該半導體晶圓的背側之該背側層的尺寸及/或成份。
  4. 如申請專利範圍第1項之方法,其中該全域變形包括橫跨一晶圓的相當大部分顯現之該晶圓的變形。
  5. 如申請專利範圍第1項之方法,其中該局部變形包括顯現在該晶圓的很小部分上之變形。
  6. 如申請專利範圍第1項之方法,其中該全域變形及/或局部變形包括平面外變形及/或平面內變形。
  7. 如申請專利範圍第1項之方法,更包含: 判定該改善圖案對該半導體晶圓的正面上之一或更多圖案的覆疊誤差之影響,該正面與該半導體晶圓之背側為相反的;及 將該改善圖案之影響前饋給該晶圓的製造過程中所使用之其他工具。
  8. 如申請專利範圍第1項之方法,其中判定該局部變形包括: 將該多數離散像素映射至該半導體晶圓上,其中多數離散像素各者映射至該半導體晶圓的一區域; 對於該多數離散像素之每一者,計算表示該像素的變形幅度之局部變形值。
  9. 如申請專利範圍第1項之方法,其中估計在每一像素的該一或更多力量的步驟包括: 對一像素上的力量建模,以複製該像素之該局部變形並促成該全域變形; 以不同的力量迭代地施行該建模步驟,直至為每一像素找到一或更多力量之最佳化值; 將該一或更多力量的最佳化值分配給其像素。
  10. 如申請專利範圍第1項之方法,其中該改善圖案的生成包括: 獲得每一像素之可能的變形範圍; 限制該改善圖案以解決所獲得範圍內之變形。
  11. 如申請專利範圍第1項之方法,其中,當將該生成的改善圖案施加至該半導體基板之背側的該對應離散像素時,該改善圖案改善該半導體晶圓之該局部變形。
  12. 如申請專利範圍第1項之方法,其中該改善圖案的生成包括:基於該生成之改善圖案產生一覆蓋佈局,其中覆蓋佈局的每一像素為覆蓋程式庫中之基於數位的圖案。
  13. 一種非暫態之電腦可讀取儲存媒體,包含當執行時造成一計算裝置的一處理器施行申請專利範圍第1項之方法的指令。
  14. 一種系統,包含: 一晶圓形狀計量器,用以獲得一半導體晶圓之形狀資料,其中該形狀資料表示該半導體晶圓的全域變形; 一晶圓模擬器,用以至少部分地基於該獲得之形狀資料,判定該半導體晶圓的多數離散像素之每一者的局部變形; 一應力估計器,用以估計在每一像素之一或更多力量,該一或更多力量產生該多數像素的每一者之經判定的該局部變形,並促成該半導體晶圓的該全域變形; 一背側圖案生成器,用以為該多數離散像素之每一者並使用針對每一像素的該等估計的力量生成背側層之改善圖案,當將該改善圖案施加至該半導體晶圓背側的對應離散像素時,該改善圖案改善該半導體晶圓之該全域變形。
  15. 如申請專利範圍第11項之系統,更包含一背側圖案部件,以將該背側層施加至該半導體晶圓的背側,其中該背側層根據該生成之改善圖案進行圖案化。
  16. 如申請專利範圍第11項之系統,其中該背側圖案生成器的生成包括: 該改善圖案之儲存; 該改善圖案的影像之儲存;或 指令的產生,以指導一工具使用該生成之改善圖案將該背側層施加至該半導體晶圓的背側;或 施加至該半導體晶圓之該背側層的該改善圖案之尺寸的判定;或 待施加至該半導體晶圓的背側之該背側層的尺寸及/或成份之判定。
  17. 如申請專利範圍第11項之系統,其中該局部變形的判定包括: 該多數離散像素至該半導體晶圓上之一映射圖的生成,其中多數離散像素各者映射至該半導體晶圓之一區域; 對於該多數離散像素的每一者,代表該像素之變形幅度的局部變形值之計算。
  18. 一種方法,包含: 獲得一半導體晶圓的形狀資料,其中該形狀資料表示該半導體晶圓之變形; 計算該半導體晶圓的等雙軸晶圓應力,其中該計算基於該獲得之形狀資料; 獲得該半導體晶圓的初始定制參數; 基於該計算之等雙軸晶圓應力和至少部分地基於該獲得的定制參數來計算晶圓形狀; 將殘留的晶圓形狀判定為該獲得之晶圓形狀資料與該計算的晶圓形狀之間的差; 更新定制參數,以減少殘留之晶圓形狀、或晶圓變形、或兩者; 藉由使用不同的定制參數重複該晶圓形狀計算和殘留晶圓形狀判定來最佳化塔板理論方程式之解答; 至少部分地基於該解答生成一改善圖案,當施加至該半導體晶圓的背側時能夠改善該半導體晶圓之變形的該改善圖案係改善該半導體晶圓之該變形。
  19. 如申請專利範圍第18項之方法,其中該等定制參數選自由背側層的數量、膜性質、覆蓋邊界、和像素尺寸所組成之族群。
  20. 如申請專利範圍第18項之方法,其中該解答的最佳化至少部分地基於落在預界定範圍內之半導體晶圓的一或更多度量。
TW108126894A 2016-09-05 2019-07-30 基於半導體晶圓局部變形判定之全域晶圓變形的改善 TWI790391B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662383549P 2016-09-05 2016-09-05
US16/054,725 US10622233B2 (en) 2016-09-05 2018-08-03 Amelioration of global wafer distortion based on determination of localized distortions of a semiconductor wafer
US16/054,725 2018-08-03

Publications (2)

Publication Number Publication Date
TW202025235A true TW202025235A (zh) 2020-07-01
TWI790391B TWI790391B (zh) 2023-01-21

Family

ID=61280932

Family Applications (3)

Application Number Title Priority Date Filing Date
TW106130214A TWI776817B (zh) 2016-09-05 2017-09-05 半導體製程中應力之位置特定調整以控制彎曲並進一步控制疊對
TW111117276A TW202234176A (zh) 2016-09-05 2017-09-05 半導體製程中應力之位置特定調整以控制彎曲並進一步控制疊對
TW108126894A TWI790391B (zh) 2016-09-05 2019-07-30 基於半導體晶圓局部變形判定之全域晶圓變形的改善

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW106130214A TWI776817B (zh) 2016-09-05 2017-09-05 半導體製程中應力之位置特定調整以控制彎曲並進一步控制疊對
TW111117276A TW202234176A (zh) 2016-09-05 2017-09-05 半導體製程中應力之位置特定調整以控制彎曲並進一步控制疊對

Country Status (5)

Country Link
US (6) US10431468B2 (zh)
JP (2) JP7164289B2 (zh)
KR (1) KR102467979B1 (zh)
CN (1) CN107799451B (zh)
TW (3) TWI776817B (zh)

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7164289B2 (ja) 2016-09-05 2022-11-01 東京エレクトロン株式会社 半導体プロセッシング中のオーバレイを制御するための湾曲を制御する応力の位置特定チューニング
US10770327B2 (en) * 2017-07-28 2020-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for correcting non-ideal wafer topography
EP3457213A1 (en) * 2017-09-18 2019-03-20 ASML Netherlands B.V. Methods and apparatus for use in a device manufacturing method
US10847419B2 (en) * 2018-03-14 2020-11-24 Raytheon Company Stress compensation and relief in bonded wafers
EP3540767A1 (en) * 2018-03-16 2019-09-18 ASML Netherlands B.V. Inspection system, lithographic apparatus, and inspection method
JP7348440B2 (ja) * 2018-03-20 2023-09-21 東京エレクトロン株式会社 統合的な半導体処理モジュールを組み込んだ自己認識及び補正異種プラットフォーム及びその使用方法
KR20200131342A (ko) 2018-04-10 2020-11-23 램 리써치 코포레이션 레지스트 및 에칭 모델링
US11164768B2 (en) * 2018-04-27 2021-11-02 Kla Corporation Process-induced displacement characterization during semiconductor production
KR102558635B1 (ko) * 2018-08-03 2023-07-21 도쿄엘렉트론가부시키가이샤 반도체 웨이퍼의 국부적인 왜곡의 결정에 기초한 전역적인 웨이퍼 왜곡의 개선
US11300889B2 (en) * 2018-08-22 2022-04-12 Asml Netherlands B.V. Metrology apparatus
US10790232B2 (en) * 2018-09-15 2020-09-29 International Business Machines Corporation Controlling warp in semiconductor laminated substrates with conductive material layout and orientation
US10896821B2 (en) * 2018-09-28 2021-01-19 Lam Research Corporation Asymmetric wafer bow compensation by physical vapor deposition
KR102491768B1 (ko) * 2018-09-28 2023-01-26 램 리써치 코포레이션 비대칭 웨이퍼 보우 보상
US10903070B2 (en) * 2018-09-28 2021-01-26 Lam Research Corporation Asymmetric wafer bow compensation by chemical vapor deposition
JP7129888B2 (ja) * 2018-11-07 2022-09-02 東京エレクトロン株式会社 成膜方法及び半導体製造装置
US11114406B2 (en) 2019-01-31 2021-09-07 Sandisk Technologies Llc Warpage-compensated bonded structure including a support chip and a three-dimensional memory chip
US10847408B2 (en) * 2019-01-31 2020-11-24 Sandisk Technologies Llc Warpage-compensated bonded structure including a support chip and a three-dimensional memory chip
US11036147B2 (en) 2019-03-20 2021-06-15 Kla Corporation System and method for converting backside surface roughness to frontside overlay
JP2020174076A (ja) * 2019-04-08 2020-10-22 東京エレクトロン株式会社 成膜装置、成膜方法、および成膜システム
US11393118B2 (en) 2019-06-18 2022-07-19 Kla Corporation Metrics for asymmetric wafer shape characterization
CN110246788B (zh) * 2019-06-28 2020-05-19 英特尔半导体(大连)有限公司 用于在晶圆沉积薄膜的设备
US11879170B2 (en) 2019-08-14 2024-01-23 Massachusetts Institute Of Technology Stress patterning systems and methods for manufacturing free-form deformations in thin substrates
CN110517968B (zh) * 2019-08-19 2022-12-20 西安奕斯伟材料科技有限公司 一种翘曲度的控制方法及装置
CN110620057B (zh) * 2019-09-12 2021-12-07 中国科学院微电子研究所 一种三维器件的套刻误差补偿方法及系统
JP7336369B2 (ja) * 2019-11-25 2023-08-31 株式会社Screenホールディングス 基板支持装置、熱処理装置、基板支持方法、熱処理方法
KR20220132631A (ko) * 2020-01-30 2022-09-30 램 리써치 코포레이션 국부적인 응력 변조를 위한 uv 경화
KR20220081389A (ko) * 2020-03-05 2022-06-15 램 리써치 코포레이션 집적 회로 프로세싱 동안 웨이퍼 보우 (bow) 의 제어
DE102020106768B4 (de) 2020-03-12 2023-06-15 Institut Für Nanophotonik Göttingen E.V. Verfahren zur umformenden Bearbeitung eines Trägersubstrates für ein optisches Funktionsbauteil
JP2021149000A (ja) * 2020-03-19 2021-09-27 キオクシア株式会社 露光方法、露光装置、及び半導体装置の製造方法
US11569134B2 (en) * 2020-04-14 2023-01-31 International Business Machines Corporation Wafer backside engineering for wafer stress control
JP7384106B2 (ja) * 2020-04-17 2023-11-21 三菱電機株式会社 半導体装置の製造方法
KR20210131798A (ko) * 2020-04-24 2021-11-03 삼성전자주식회사 Euv 노광 장치, 및 그 노광 장치를 이용한 오버레이 보정 방법과 반도체 소자 제조방법
US20210366792A1 (en) * 2020-05-22 2021-11-25 Tokyo Electron Limited Backside deposition tuning of stress to control wafer bow in semiconductor processing
US11473199B2 (en) 2020-06-10 2022-10-18 Sandisk Technologies Llc Method and apparatus for depositing a multi-sector film on backside of a semiconductor wafer
US11702750B2 (en) * 2020-06-10 2023-07-18 Sandisk Technologies Llc Method and apparatus for depositing a multi-sector film on backside of a semiconductor wafer
US11637043B2 (en) * 2020-11-03 2023-04-25 Applied Materials, Inc. Analyzing in-plane distortion
US11830778B2 (en) 2020-11-12 2023-11-28 International Business Machines Corporation Back-side wafer modification
US11829077B2 (en) 2020-12-11 2023-11-28 Kla Corporation System and method for determining post bonding overlay
US11721551B2 (en) * 2021-01-26 2023-08-08 Tokyo Electron Limited Localized stress regions for three-dimension chiplet formation
CN113068326B (zh) * 2021-03-29 2022-09-30 北京小米移动软件有限公司 一种焊接质量处理方法及装置、电路板
US20220344171A1 (en) * 2021-04-26 2022-10-27 Applied Materials, Inc. Localized stress modulation by implant to back of wafer
US20220415683A1 (en) * 2021-06-27 2022-12-29 Tignis, Inc. Method for determining corrective film pattern to reduce semiconductor wafer bow
US20230008350A1 (en) * 2021-07-08 2023-01-12 Tokyo Electron Limited Method of adjusting wafer shape using multi-directional actuation films
US20230025264A1 (en) * 2021-07-20 2023-01-26 Changxin Memory Technologies, Inc. Method for adjusting wafer deformation and semiconductor structure
US11782411B2 (en) * 2021-07-28 2023-10-10 Kla Corporation System and method for mitigating overlay distortion patterns caused by a wafer bonding tool
WO2023091312A1 (en) * 2021-11-19 2023-05-25 Tokyo Electron Limited Precision multi-axis photolithography alignment correction using stressor film
WO2023104391A1 (en) * 2021-12-06 2023-06-15 Asml Netherlands B.V. Methods of determining a mechanical property of a layer applied to a substrate, and associated devices
EP4202551A1 (en) * 2021-12-23 2023-06-28 ASML Netherlands B.V. Methods of determining a mechanical property of a layer applied to a substrate, and associated devices
US11994807B2 (en) 2022-05-03 2024-05-28 Tokyo Electron Limited In-situ lithography pattern enhancement with localized stress treatment tuning using heat zones
US20230367941A1 (en) * 2022-05-13 2023-11-16 Applied Materials, Inc. Dose mapping using substrate curvature to compensate for out-of-plane distortion
WO2023219983A1 (en) * 2022-05-13 2023-11-16 Applied Materials, Inc. Dose mapping and substrate rotation for substrate curvature control with improved resolution
US20240103385A1 (en) * 2022-09-28 2024-03-28 Applied Materials, Inc. Frequency and Amplitude Modulation of Implant Dose for Stress Management
CN116228773B (zh) * 2023-05-09 2023-08-04 华芯程(杭州)科技有限公司 一种晶圆检测机台的量测数据校准方法、装置及设备
CN117410199B (zh) * 2023-12-15 2024-03-08 合肥晶合集成电路股份有限公司 一种套刻误差的测量方法

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5851929A (en) * 1996-01-04 1998-12-22 Micron Technology, Inc. Controlling semiconductor structural warpage in rapid thermal processing by selective and dynamic control of a heating source
US5972570A (en) 1997-07-17 1999-10-26 International Business Machines Corporation Method of photolithographically defining three regions with one mask step and self aligned isolation structure formed thereby
JPH1197506A (ja) * 1997-09-22 1999-04-09 Dainippon Screen Mfg Co Ltd 基板処理装置
EP1089328A1 (en) 1999-09-29 2001-04-04 Infineon Technologies AG Method for manufacturing of a semiconductor device
JP2001344710A (ja) * 2000-06-05 2001-12-14 Tdk Corp ウエハの平面度制御方法及び薄膜磁気ヘッドの製造方法
US6921615B2 (en) 2000-07-16 2005-07-26 Board Of Regents, The University Of Texas System High-resolution overlay alignment methods for imprint lithography
WO2002025708A2 (en) * 2000-09-20 2002-03-28 Kla-Tencor-Inc. Methods and systems for semiconductor fabrication processes
US7169685B2 (en) * 2002-02-25 2007-01-30 Micron Technology, Inc. Wafer back side coating to balance stress from passivation layer on front of wafer and be used as die attach adhesive
US20040144760A1 (en) * 2002-05-17 2004-07-29 Cahill Steven P. Method and system for marking a workpiece such as a semiconductor wafer and laser marker for use therein
KR100487562B1 (ko) * 2003-03-24 2005-05-03 삼성전자주식회사 웨이퍼 휘어짐을 억제할 수 있는 반도체 제조방법
EP1475667A1 (en) * 2003-05-09 2004-11-10 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2004356386A (ja) * 2003-05-29 2004-12-16 Trecenti Technologies Inc 半導体装置およびその製造方法
JP4232605B2 (ja) * 2003-10-30 2009-03-04 住友電気工業株式会社 窒化物半導体基板の製造方法と窒化物半導体基板
US7164200B2 (en) * 2004-02-27 2007-01-16 Agere Systems Inc. Techniques for reducing bowing in power transistor devices
US7184853B2 (en) * 2005-05-18 2007-02-27 Infineon Technologies Richmond, Lp Lithography method and system with correction of overlay offset errors caused by wafer processing
US7853920B2 (en) * 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7645546B2 (en) * 2006-02-06 2010-01-12 Macronix International Co., Ltd. Method for determining an overlay correlation set
US7719089B2 (en) * 2006-05-05 2010-05-18 Sony Corporation MOSFET having a channel region with enhanced flexure-induced stress
US7936445B2 (en) * 2006-06-19 2011-05-03 Asml Netherlands B.V. Altering pattern data based on measured optical element characteristics
KR100849366B1 (ko) * 2006-08-24 2008-07-31 세메스 주식회사 기판을 처리하는 장치 및 방법
KR101108709B1 (ko) * 2007-07-12 2012-01-30 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US8900715B2 (en) * 2008-06-11 2014-12-02 Infineon Technologies Ag Semiconductor device
KR20110024997A (ko) * 2009-09-03 2011-03-09 주식회사 하이닉스반도체 웨이퍼 휨 방지를 위한 반도체장치 제조 방법
JP2011119472A (ja) 2009-12-03 2011-06-16 Panasonic Corp 半導体製造装置
US8768665B2 (en) * 2010-01-08 2014-07-01 Kla-Tencor Technologies Corporation Site based quantification of substrate topography and its relation to lithography defocus and overlay
JP2011201759A (ja) 2010-03-05 2011-10-13 Namiki Precision Jewel Co Ltd 多層膜付き単結晶基板、多層膜付き単結晶基板の製造方法および素子製造方法
US8183104B2 (en) 2010-07-07 2012-05-22 Hobbs Christopher C Method for dual-channel nanowire FET device
US20120074523A1 (en) * 2010-09-23 2012-03-29 Michael Goldstein Controlling microelectronic substrate bowing
EP2463892B1 (de) * 2010-12-13 2013-04-03 EV Group E. Thallner GmbH Einrichtung, Vorrichtung und Verfahren zur Ermittlung von Ausrichtungsfehlern
JP2012151670A (ja) * 2011-01-19 2012-08-09 Renesas Electronics Corp 画像投影システム及び半導体集積回路
FR2972848A1 (fr) * 2011-03-18 2012-09-21 Soitec Silicon On Insulator Appareil et procédé de collage par adhésion moléculaire avec minimisation de déformations locales
JP5703896B2 (ja) * 2011-03-29 2015-04-22 凸版印刷株式会社 パターン形成方法およびパターン形成体
JP5642628B2 (ja) * 2011-05-27 2014-12-17 東京エレクトロン株式会社 基板反り除去装置、基板反り除去方法及び記憶媒体
US9354526B2 (en) * 2011-10-11 2016-05-31 Kla-Tencor Corporation Overlay and semiconductor process control using a wafer geometry metric
CN103999226B (zh) 2011-12-19 2017-02-15 英特尔公司 在栅绕式架构中的锗和iii‑v纳米线及纳米带的cmos实现
US9012284B2 (en) 2011-12-23 2015-04-21 Intel Corporation Nanowire transistor devices and forming techniques
KR101830470B1 (ko) 2012-03-30 2018-02-20 신토고교 가부시키가이샤 반도체 소자용 기판의 휨 교정 장치 및 휨 교정 방법
JP5925579B2 (ja) * 2012-04-25 2016-05-25 ルネサスエレクトロニクス株式会社 半導体装置、電子装置、及び画像処理方法
US20140045411A1 (en) * 2012-08-10 2014-02-13 Yevsey SENDERZON Methods of and apparatus for producing wafers
US9430593B2 (en) 2012-10-11 2016-08-30 Kla-Tencor Corporation System and method to emulate finite element model based prediction of in-plane distortions due to semiconductor wafer chucking
US9158209B2 (en) * 2012-10-19 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of overlay prediction
US9601395B2 (en) * 2012-12-28 2017-03-21 Sunedison Semiconductor Limited (Uen201334164H) Methods for post-epitaxial warp prediction and control
US9059241B2 (en) * 2013-01-29 2015-06-16 International Business Machines Corporation 3D assembly for interposer bow
US20150192404A1 (en) * 2013-03-31 2015-07-09 Kla-Tencor Corporation Reducing registration error of front and back wafer surfaces utilizing a see-through calibration wafer
JP5924778B2 (ja) * 2013-06-24 2016-05-25 株式会社リガク 単結晶基板の反り測定方法及び測定装置
US9281251B2 (en) 2013-08-09 2016-03-08 Tokyo Electron Limited Substrate backside texturing
US20150044783A1 (en) * 2013-08-12 2015-02-12 Micron Technology, Inc. Methods of alleviating adverse stress effects on a wafer, and methods of forming a semiconductor device
JP5934156B2 (ja) * 2013-08-20 2016-06-15 Towa株式会社 基板の搬送供給方法及び基板の搬送供給装置
US9397051B2 (en) * 2013-12-03 2016-07-19 Invensas Corporation Warpage reduction in structures with electrical circuitry
US9595525B2 (en) 2014-02-10 2017-03-14 International Business Machines Corporation Semiconductor device including nanowire transistors with hybrid channels
US9824894B2 (en) 2014-04-09 2017-11-21 Tokyo Electron Limited Method for correcting wafer bow from overlay
US9269607B2 (en) 2014-06-17 2016-02-23 Globalfoundries Inc. Wafer stress control with backside patterning
CN105448762A (zh) * 2014-08-28 2016-03-30 中国科学院微电子研究所 一种衬底翘曲度的调整方法
US9779202B2 (en) * 2015-06-22 2017-10-03 Kla-Tencor Corporation Process-induced asymmetry detection, quantification, and control using patterned wafer geometry measurements
WO2016209282A1 (en) * 2015-06-26 2016-12-29 Intel Corporation Gan devices on engineered silicon substrates
JP6540430B2 (ja) 2015-09-28 2019-07-10 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10784100B2 (en) * 2016-07-21 2020-09-22 Tokyo Electron Limited Back-side friction reduction of a substrate
JP7164289B2 (ja) 2016-09-05 2022-11-01 東京エレクトロン株式会社 半導体プロセッシング中のオーバレイを制御するための湾曲を制御する応力の位置特定チューニング

Also Published As

Publication number Publication date
TWI790391B (zh) 2023-01-21
JP7216785B2 (ja) 2023-02-01
US20180342410A1 (en) 2018-11-29
CN107799451B (zh) 2023-05-02
US10811265B2 (en) 2020-10-20
US20180068859A1 (en) 2018-03-08
US20200058509A1 (en) 2020-02-20
TW202234176A (zh) 2022-09-01
KR20180027382A (ko) 2018-03-14
JP2018041080A (ja) 2018-03-15
TW201826036A (zh) 2018-07-16
US10453692B2 (en) 2019-10-22
CN107799451A (zh) 2018-03-13
US10157747B2 (en) 2018-12-18
US20180067403A1 (en) 2018-03-08
US10475657B2 (en) 2019-11-12
KR102467979B1 (ko) 2022-11-16
JP2022000917A (ja) 2022-01-04
TWI776817B (zh) 2022-09-11
US20180068860A1 (en) 2018-03-08
US20180068861A1 (en) 2018-03-08
JP7164289B2 (ja) 2022-11-01
US10622233B2 (en) 2020-04-14
US10431468B2 (en) 2019-10-01

Similar Documents

Publication Publication Date Title
TWI790391B (zh) 基於半導體晶圓局部變形判定之全域晶圓變形的改善
TWI632627B (zh) 程序引入失真之預測以及疊對誤差之前饋及反饋校正
TWI573215B (zh) 模擬由於半導體晶圓固持之平面內失真之基於有限元素模型的預測之系統及方法
TWI572990B (zh) 施加一圖案至一基板之方法、元件製造方法及用於此等方法之微影裝置
JP2015043452A (ja) 応力ならびにオーバーレイのフィードフォーワード、及び/または、フィードバック・リソグラフィック・プロセス制御
TWI667550B (zh) 微影裝置之校準方法、使用該方法之微影裝置及元件製造方法
US10788759B2 (en) Prediction based chucking and lithography control optimization
JP2011159764A (ja) パターン形成方法、レジスト塗布分布算出装置及びレジスト塗布分布算出プログラム
US11347144B2 (en) Overlay improvement in nanoimprint lithography
US20210366792A1 (en) Backside deposition tuning of stress to control wafer bow in semiconductor processing
JP2022016547A (ja) 製品ユニットの製造プロセスのシーケンスの最適化
JP6600391B2 (ja) ナノインプリントリソグラフィにおけるテンプレートの歪みのリアルタイム補正
CN110807273B (zh) 基于半导体晶片的局部畸变的确定的全局晶片畸变的改善
TW201432831A (zh) 校正目標値的方法以及用來校正該目標値的處理系統
JP2020060666A (ja) マスクパターン補正システム、及び該補正システムを利用する半導体製造方法
JP2007220937A (ja) 基板の重ね描画方法
TW201316389A (zh) 用以校正結合至第二晶圓之第一晶圓上之位置偏差之方法
Asano et al. Scatterometry-based process control for nanoimprint lithography
US11556055B2 (en) Systems and methods for generating drop patterns
JP2017181669A (ja) レジスト膜の解析方法