KR102467979B1 - 반도체 프로세싱에서 오버레이를 제어하기 위해 보우를 제어하기 위한 응력의 위치-특정 튜닝 - Google Patents

반도체 프로세싱에서 오버레이를 제어하기 위해 보우를 제어하기 위한 응력의 위치-특정 튜닝 Download PDF

Info

Publication number
KR102467979B1
KR102467979B1 KR1020170113329A KR20170113329A KR102467979B1 KR 102467979 B1 KR102467979 B1 KR 102467979B1 KR 1020170113329 A KR1020170113329 A KR 1020170113329A KR 20170113329 A KR20170113329 A KR 20170113329A KR 102467979 B1 KR102467979 B1 KR 102467979B1
Authority
KR
South Korea
Prior art keywords
substrate
overlay
bow
internal stresses
correction pattern
Prior art date
Application number
KR1020170113329A
Other languages
English (en)
Other versions
KR20180027382A (ko
Inventor
안톤 제이 데빌리어스
다니엘 풀포드
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20180027382A publication Critical patent/KR20180027382A/ko
Application granted granted Critical
Publication of KR102467979B1 publication Critical patent/KR102467979B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/32Additional lead-in metallisation on a device or substrate, e.g. additional pads or pad portions, lines in the scribe line, sacrificed conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70783Handling stress or warp of chucks, masks or workpieces, e.g. to compensate for imaging errors or considerations related to warpage of masks or workpieces due to their own weight
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • G06F30/23Design optimisation, verification or simulation using finite element methods [FEM] or finite difference methods [FDM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices With Unspecified Measuring Means (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Saccharide Compounds (AREA)
  • Investigating Or Analyzing Materials By The Use Of Fluid Adsorption Or Reactions (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

본 명세서의 기법들은 웨이퍼들의 보우잉을 수정하거나 조정함으로써 패턴 오버레이 에러들을 수정하기 위한 시스템들 및 방법들을 포함한다. 반도체 기판들 상의 응력들의 위치-특정 튜닝은 오버레이 에러를 감소시킨다. 응력의 위치-특정 튜닝은 이들 특정 위치들에서의 웨이퍼 보우를 변화시키기 위해 기판 상의 특정 구역들, 영역들, 또는 포인트 위치들을 독립적으로 변경하여, 기판들 상의 오버레이 에러를 감소시키며, 이는 결국 기판 상에 생성된 후속 패턴들의 오버레이를 개선한다. 본 명세서의 기법들은, 소정량의 오버레이 에러를 갖는 기판을 수용하는 단계, 기판에 걸쳐 z-높이 편차들을 맵핑하기 위해 기판의 보우를 측정하는 단계, 오버레이 수정 패턴을 발생시키는 단계, 및 그 후 다른 좌표 위치들과 독립적인 변경으로 특정 위치들에서 기판 상의 내부 응력들을 물리적으로 변경하는 단계를 포함한다. 그러한 변경들은 기판의 후방 표면을 에칭하는 단계를 포함할 수 있다. 이러한 프로세싱을 위해 하나 이상의 프로세싱 모듈들이 사용될 수 있다.

Description

반도체 프로세싱에서 오버레이를 제어하기 위해 보우를 제어하기 위한 응력의 위치-특정 튜닝{LOCATION-SPECIFIC TUNING OF STRESS TO CONTROL BOW TO CONTROL OVERLAY IN SEMICONDUCTOR PROCESSING}
본 출원은 2016년 9월 5일자로 출원된 미국 가특허출원 제62/383,549호를 우선권으로 주장하며, 상기 미국 가특허출원은 그 전체가 인용에 의해 본원에 포함된다.
본 발명은 반도체 제조, 및 특히 웨이퍼 오버레이에 관한 것이다.
반도체 제조는 다수의 다양한 단계들 및 프로세스들을 수반한다. 하나의 통상적인 제조 프로세스는 포토리소그래피(마이크로리소그래피로도 불림)로 알려져 있다. 포토리소그래피는 자외선 또는 가시광선과 같은 복사선(radiatioin)을 사용하여 반도체 디바이스 설계에서 미세한(fine) 패턴들을 발생시킨다. 포토리소그래피, 에칭, 성막, 표면 세정, 금속화 등을 포함하는 반도체 제조 기법들을 사용하여, 다이오드들, 트랜지스터들 및 집적 회로들과 같은 많은 타입의 반도체 디바이스들이 구성될 수 있다.
포토리소그래피 기법들을 구현하기 위하여 노광 시스템(툴로도 불림)이 사용된다. 노광 시스템은 통상적으로 회로 패턴, 투영 시스템 및 감광성 레지스트-커버된 반도체 웨이퍼를 정렬(align)하기 위한 웨이퍼 정렬 스테이지를 생성하기 위한 조명 시스템, 레티클(포토마스크로도 불림) 또는 공간 광 변조기(SLM, spatial light modulator)를 포함한다. 조명 시스템은 레티클 또는 SLM의 영역을 (바람직하게는) 직사각형 슬롯 조명 필드(rectangular slot illumination field)로 조명한다. 투영 시스템은 웨이퍼 상에 레티클 패턴의 조명된 영역의 이미지를 투영한다. 정확한 투영을 위해, 상대적으로 편평하거나 평면인 웨이퍼 상에, 바람직하게는 10 미크론 미만의 높이 편차를 갖는 광 패턴을 노광시키는 것이 중요하다.
반도체 디바이스 제조 기술이 발전함에 따라, 포토리소그래피 시스템들 및 반도체 디바이스들을 제조하는데 사용되는 코터(coater)들/디벨로퍼(developer)들에 대한 요구가 증가하고 있다. 이는 기판 정렬의 정확성에 대한 요구가 증가하는 것을 포함한다. 기판은 통상적으로 웨이퍼 테이블로 지칭되는 척(chuck) 상에 장착된다. 노광 동안에, 기판 상에 노광되는 피처들은 기판 상의 기존 피처들을 오버레이할 필요가 있다. 즉, 패턴 B는 패턴 A와 정렬될 필요가 있다. 후속 층들의 정렬은 오버레이로 알려져 있다. 오버레이의 에러는 층이 층의 아래(또는 위)에 대해 오프셋되어 있음을 의미한다. 원하는 오버레이 성능을 달성하기 위해, 기판은 노광 이전에 기판 스테이지에 정렬된다. 그러나, 정렬 후에 기판 스테이지에 관한 기판의 임의의 이동은 오버레이 에러들을 초래할 수 있다. 예컨대 스캐터로미터(scatterometer)들을 사용함으로써, 오버레이 에러를 측정하기 위한 기존의 툴들이 이미 존재한다.
다양한 제조 프로세스 단계들(재료 성막, 에칭, 경화 등)은 기판의 팽창 및/또는 수축을 야기할 수 있고, 뒤틀린(warped) 또는 보우잉된(bowed) 기판을 초래한다. 예를 들어, 화학 복사선의 노광 동안, 노광 빔으로부터 기판에 전달된 에너지로 인해 기판은 국소적으로 가열된다. 기판은 또한 어닐링 프로세스들 동안 가열된다. 이 가열은 기판이 팽창되게 한다. 기판 팽창이 선택되지 않은 경우, 팽창은 오버레이 에러 공차를 초과한다. 또한, 기판과 기판 척 사이의 클램핑력(clamping force)이 기판 팽창을 방지하기에 충분하지 않은 경우, 기판은 기판 척 상에서 미끄러질 수 있고, 더 큰 기판 팽창이 발생하여 더 큰 오버레이 에러들을 초래할 수 있다. 예컨대 극자외선("EUV", extreme ultraviolet) 시스템들에서, 몇몇 프로세스들에서는 미끄러짐이 더 두드러질 수 있는데, 이는 노광 동안 기판을 둘러싸는 환경이 진공이기 때문이다. 따라서, 진공 클램핑이 항상 가능한 것은 아니며, 더 약한 정전 클램핑이 진공 클램프 대신에 사용되어야 한다.
다른 제조 단계들은 또한 기판 팽창 및 수축을 야기할 수 있다. 예를 들어, 성막된 필름들은 기판 수축을 야기할 수 있다. 또한, 다양한 어닐링 및 도핑 단계들은 주어진 기판에서 상당한 양의 보우(bow)를 생성할 수 있다. 어닐링 단계들은 특히 오버레이 도전과제들을 야기할 수 있다. 이러한 다양한 제조 단계들의 결과는 불균일하거나 비평면인 기판이다. 예를 들어, 기판의 후방(backside)은 높은 스팟(spot)들 및 낮은 스팟들 모두를 갖는 z-높이차(수직 높이들 또는 기판 표면에 수직한 거리들에서의 차이)를 가질 수 있다. 이러한 보우잉(bowing)에 의한 높이차들은 약 1 미크론 내지 대략 500 미크론 이상 정도일 수 있다. 이러한 변동은 다양한 노광 툴들에 의해 노광되는 반도체 디바이스들 또는 구조물들이 수십 나노미터 내지 수백 나노미터의 스케일로 노광되기 때문에 중요하다. 따라서 수천 나노미터에서 10,000 나노미터로의 편향 편차(deflection variation)들을 가지면, 두 패턴들을 적절히 정렬하기가 어렵기 때문에 수율을 크게 감소시킬 수 있다.
부분적으로 프로세싱된 기판들 상의 기판 보우 및 불균일한 곡률(curvature)을 다루는데 사용되는 종래의 기법들은 척킹 기법들에 초점을 맞추어, 기판을 기판 홀더에 척킹(또는 클램핑 또는 흡착)시켜 곡률을 편평하게 한다. 그러나, 이러한 상당한 보우잉으로 인해, 기판만을 척킹함으로써 기판을 정확히 평탄화하는 것은 매우 어렵거나 불가능할 수 있다. 따라서, 추가적인 노광을 위해 스캐너/스테퍼로 보내지거나 반송되기 전에 오버레이를 개선 및/또는 수정하기 위한 기판 오버레이 수정 기법을 갖는 것이 바람직하다.
본 명세서의 기법들은 웨이퍼 오버레이를 수정하기 위한 시스템을 포함한다. 계측 모듈(metrology module)은 기판의 보우를 측정하고, 하나 이상의 기준 z-높이 값들에 관하여 기판 상에 z-높이 편차들을 맵핑하는 보우 측정치를 발생시키도록 구성된다. 기판은 작업 표면(working surface) 및 작업 표면 반대편의 후방 표면을 갖는다. 기판은 기판의 작업 표면 상에 반도체 디바이스의 적어도 일부를 생성하기 위해 실행된 하나 이상의 마이크로 제조 프로세싱 단계들로부터 초래되는 초기 오버레이 에러를 갖는다. 제어기는 보우 측정치를 수신하고, 보우 측정치에 기반하여 오버레이 수정 패턴을 발생시키도록 구성된다. 오버레이 수정 패턴은 보우 측정치에 기반하여 기판 상의 특정 위치들에서 내부 응력들에 대한 조정들을 정의한다. 이 오버레이 수정 패턴에서, 기판 상의 제1 주어진 위치는 오버레이 수정 패턴에서의 기판 상의 제2 주어진 위치에 비교하여 상이한 정의된 내부 응력 조정을 갖는다. 프로세싱 모듈은 기판의 변경된 보우를 초래하는 오버레이 수정 패턴에 따라, 기판 상의 특정 위치들에서 기판 상의 내부 응력들을 물리적으로 변경하도록 구성되는 기판 처리 컴포넌트들 및 기판 홀더를 갖는다. 이제 변경된 보우를 갖는 기판은 제2 오버레이 에러를 갖고, 제2 오버레이 에러는 초기 오버레이 에러에 비교하여 감소된 오버레이 에러를 갖는다.
본 명세서의 기법들은 웨이퍼 오버레이를 수정하기 위한 시스템을 포함한다. 그러한 시스템은 여러 컴포넌트들을 포함할 수 있다. 계측 모듈(metrology module)은 기판의 보우를 측정하여, 하나 이상의 기준 z-높이 값들에 관하여 기판 상에 z-높이 편차들을 맵핑하는 보우 측정치를 생성하도록 구성된다. 코팅 모듈은 복사선 감응 재료(radiation-sensitive material)로 웨이퍼의 후방 표면을 코팅하도록 구성된다. 제어기는 기판의 보우 측정치에 기반하여 기판 상의 특정 위치들에서 내부 응력들에 대한 조정들을 정의하는 오버레이 수정 패턴을 발생시키도록 구성되어, 기판 상의 제1 주어진 위치는 오버레이 수정 패턴에서 기판 상의 제2 주어진 위치에 비교하여 상이한 정의된 내부 응력 조정을 갖는다. 이미징 모듈은 오버레이 수정 패턴에 기반하여 화학 복사선의 패턴에 상기 후방 표면을 노출시키도록 구성된다. 현상 모듈은 화학 복사선의 패턴에의 노출 이후에 복사선 감응 재료를 현상시켜, 기판의 후방 표면 상에 릴리프 패턴(relief pattern)을 형성하는 복사선 감응 재료를 초래하도록 구성된다. 에칭 모듈은 릴리프 패턴을 에칭 마스크로서 사용해 기판의 후방 표면을 에칭하여, 기판의 오버레이 에러를 감소시키도록 구성된다 몇몇 실시예들에서, 이들 모듈들 전부는 자동화된 기판 핸들링 시스템이 모듈들 사이에서 기판을 이송할 수 있는 공통 플랫폼 상에 있다. 따라서, 오버레이 에러들을 바로잡기 위한 올인원(all-in-one) 툴이 생성된다. 예를 들어, 오버레이 에러가 있는 웨이퍼들이 이 툴에 로딩된 다음, 시스템이 측정하고, 수정치들을 계산하고, 수정하고, 오버레이 에러들이 수정되거나 감소된 웨이퍼들을 반환한다.
본 명세서의 기법들은 웨이퍼 오버레이를 수정하기 위한 방법을 포함한다. 이 방법의 일 실시예에서, 작업 표면 및 작업 표면 반대편의 후방 표면을 갖는 기판이 수용된다. 기판은 기판의 작업 표면 상에 반도체 디바이스의 적어도 일부를 생성하기 위해 실행된 하나 이상의 마이크로 제조 프로세싱 단계들로부터 초래되는 초기 오버레이 에러를 갖는다. 하나 이상의 기준 z-높이 값들에 관하여 기판 상에 z-높이 편차들을 맵핑하는 기판의 초기 보우 측정치가 수신되거나 취해진다. 기판의 초기 보우 측정치에 기반하여 기판 상의 특정 위치들에서 내부 응력들에 대한 조정들을 정의하는 오버레이 수정 패턴이 발생된다. 이 오버레이 수정 패턴에서, 기판 상의 제1 주어진 위치는 오버레이 수정 패턴에서 기판 상의 제2 주어진 위치에 비교하여 상이한 정의된 내부 응력 조정을 갖는다. 기판 상의 특정 위치들에서 기판 상의 내부 응력들은 그 후 기판의 변경된 보우를 초래하는 오버레이 수정 패턴에 따라 변경된다. 변경된 보우를 갖는 기판은 제2 오버레이 에러를 갖는다. 제2 오버레이 에러는 초기 오버레이 에러에 비교하여 감소된 오버레이 에러를 갖는다.
본 명세서의 기법들은 웨이퍼 오버레이를 수정하기 위한 방법을 포함한다. 이 방법의 일 실시예에서, 작업 표면 및 작업 표면 반대편의 후방 표면을 갖는 기판이 수용된다. 기판은 기판의 작업 표면 상에 반도체 디바이스의 적어도 일부를 생성하기 위해 실행된 하나 이상의 마이크로 제조 프로세싱 단계들로부터 초래되는 초기 오버레이 에러를 갖는다. 기판의 보우가 측정되어, 하나 이상의 기준 z-높이 값들에 관하여 기판 상에 z-높이 편차들을 맵핑하는 기판의 보우 측정치를 생성한다. 기판의 보우 측정치에 기반하여 기판 상의 특정 위치들에서 내부 응력들에 대한 조정들을 정의하는 오버레이 수정 패턴이 발생된다. 이 오버레이 수정 패턴에서, 기판 상의 제1 주어진 위치는 기판 상의 제2 주어진 위치에 비교하여 상이한 정의된 내부 응력 조정을 갖는다. 기판의 후방 표면은 포토레지스트의 층으로 코팅된다. 오버레이 수정 패턴에 기반하여 화학 복사선의 패턴을 사용해 기판의 후방 패턴 상에 포토레지스트의 층이 이미징된다. 포토레지스트의 층의 용해성 부분들을 제거하기 위해 포토레지스트의 층은 현상되어, 후방 표면의 부분들을 커버하지 않는 포토레지스트의 릴리프 패턴을 초래한다. 릴리프 패턴을 에칭 마스크로서 사용해 후방 표면의 커버되지 않은 부분들이 에칭된다. 이 에칭은 기판의 변경된 보우를 초래한다. 변경된 보우를 갖는 기판은 제2 오버레이 에러를 갖는다. 제2 오버레이 에러는 초기 오버레이 에러에 비교하여 감소된 오버레이 에러를 갖는다.
물론, 본 명세서에 설명된 바와 같이 상이한 단계들의 논의 순서는 명료성을 위해 제시되었다. 일반적으로 이러한 단계들은 적절한 순서로 수행될 수 있다. 또한, 본 명세서의 상이한 피처들, 기법들, 구성들 등 각각은 본 개시물의 상이한 곳들에서 논의될 수 있지만, 각각의 개념들이 서로 독립적으로 또는 서로 조합되어 실행될 수 있는 것으로 의도된다. 따라서, 본 발명은 많은 다른 방식들로 구체화되고 보여질 수 있다.
이 요약 섹션은 본 개시물 또는 청구된 발명의 모든 실시예들 및/또는 점진적으로 신규한 양상을 특정하지는 않는다는 것을 유의해야 한다. 대신, 이 요약은 종래 기법들에 비해 상이한 실시예들 및 대응하는 신규 포인트들에 대한 예비 논의만을 제공한다. 발명 및 실시예들의 추가 세부사항들 및/또는 가능한 관점들에 대해, 독자는 이하에 추가로 논의되는 바와 같은 본 개시물의 상세한 설명 부분 및 대응하는 도면로 눈을 돌릴 수 있다.
발명의 다양한 실시예들 및 그에 따른 다수의 이점에 대한 더욱 완전한 이해는 첨부 도면들과 관련하여 고려되는 이하의 상세한 설명을 참조하면 쉽게 명백해질 것이다. 도면들은 반드시 축적에 따라 도시되지 않으며, 대신에 피처들, 원리들 및 개념들을 예시하는데 중점을 둔다.
도 1은 본 발명의 실시예에 따른 오버레이 수정 시스템의 평면도이다.
도 2는 본 발명의 실시예에 따른 오버레이 수정 시스템의 사시도이다.
도 3은 측정 및/또는 검사를 위한 모듈의 개략적 측단면도이다.
도 4은 코팅, 현상 및/또는 세정을 위한 모듈의 개략적 측단면도이다.
도 5는 본 명세서에 개시된 실시예들에 따른 성막을 위한 모듈의 개략적 측단면도이다.
도 6은 본 명세서에 개시된 실시예들에 따른 노광 모듈의 개략적 측단면도이다.
도 7은 웨이퍼를 예시하는 측단면도이다.
도 8은 웨이퍼 보우잉을 예시하는 측단면도이다.
도 9는 웨이퍼 보우잉을 예시하는 측단면도이다.
도 10은 웨이퍼 상에 성막된 재료로부터의 보우 응답(bow response)을 도시하는 그래프이다.
도 11은 본 명세서에 개시된 실시예들에 따른 프로세스 흐름을 보여주는 예시적인 기판 세그먼트의 개략적 단면도이다.
도 12는 본 명세서에 개시된 실시예들에 따른 프로세스 흐름을 보여주는 예시적인 기판 세그먼트의 개략적 단면도이다.
도 13은 본 명세서에 개시된 실시예들에 따른 프로세스 흐름을 보여주는 예시적인 기판 세그먼트의 개략적 단면도이다.
도 14는 본 명세서에 개시된 실시예들에 따른 프로세스 흐름을 보여주는 예시적인 기판 세그먼트의 개략적 단면도이다.
도 15는 본 명세서에 개시된 실시예들에 따른 오버레이 에러를 갖는 웨이퍼 보우 측정을 나타낸 것이다.
도 16은 본 명세서에 개시된 실시예들에 따른 오버레이 수정 패턴을 나타낸 것이다.
도 17은 본 명세서에 개시된 실시예들에 따른 오버레이 에러가 감소된 웨이퍼 보우 측정을 나타낸 것이다.
본 명세서의 기법들은 반도체 기판들(웨이퍼들)의 보우잉을 수정하거나 조정함으로써 패턴 오버레이 에러들을 수정하기 위한 시스템들 및 방법들을 포함한다. 본 명세서의 기법들은 오버레이 에러를 감소시키기 위해 웨이퍼들 상의 응력을 위치-특정 튜닝하기 위한 시스템들 및 방법들을 포함한다. 응력의 위치-특정 튜닝은 기판 상의 특정 구역들, 영역들 또는 포인트 위치들을 독립적으로 수정하여, 이러한 특정 위치들에서 웨이퍼 보우를 변경한다. 차등적(diferential) 제어에 의한 이러한 공간 응력 튜닝은 기판들 상의 오버레이 에러를 감소시키고, 이는 결국 기판 상에 생성된 후속 패턴들의 오버레이를 개선한다. 본 명세서의 기법들은, 소정량의 오버레이 에러를 갖는 기판을 수용하는 단계, 기판에 걸쳐 z-높이 편차들을 맵핑하기 위해 기판의 보우를 측정하는 단계, 오버레이 수정 패턴을 발생시키는 단계, 및 그 후 특정 위치들에서 기판 상의 내부 응력들을 물리적으로 변경하는 단계를 포함하며, 이러한 변경들 및 강도는 다른 위치들과 독립적이다. 그러한 변경들은 기판의 후방 표면을 에칭하는 단계를 포함할 수 있다. 이러한 프로세싱을 위해 하나 이상의 프로세싱 모듈들이 사용될 수 있다. 이 위치-선택식 에칭은 감소된 오버레이 에러를 갖는 변경된 보우를 갖는 기판을 초래한다.
이러한 기법들은 후방 프로세싱을 포함할 수 있다. 통상적으로, 웨이퍼들은 작업 표면 및 후방 표면을 갖는다. 작업 표면은 트랜지스터들, 다이오드들, 게이트들, 배선 등과 같은 반도체 디바이스들이 제조되는 표면이다. 후방 표면은 통상적으로 작업 표면에 반대되며, 통상적으로 기판 홀더들에 클램핑되거나 척킹되는 표면이다. 본 명세서의 기법들은 기판의 작업 표면 상의 응력들을 조정하는 단계를 포함하지만, 그러한 작업 표면 조정들은 종종 제조 스테이지에 의해 제한된다. 본 명세서의 기법들은 또한 내부 응력들을 변경하거나 튜닝하기 위한 후방 표면 프로세싱을 포함한다.
반도체 웨이퍼의 마이크로 제조는 도 7에 예시된 것과 같은 편평한 웨이퍼로 시작된다. 반도체 웨이퍼의 마이크로 제조 동안, 기판 상에 재료를 성막하는 단계, 재료를 제거하는 단계, 도펀트들을 주입하는 단계, 어닐링하는 단계, 베이킹하는 단계 등을 포함할 수 있는 다수의 프로세싱 단계들이 실행된다. 상이한 재료들 및 구조 형성들은 기판의 내부 응력을 야기할 수 있으며, 이는 웨이퍼의 보우잉을 초래하고, 이는 결국 오버레이에 영향을 미치고 통상적으로 다양한 크기의 오버레이 에러들을 초래한다. 도 8은 기준 평면으로부터의 z-높이 편차를 예시하는 측정치 A를 갖는 1차(first order) 보우잉을 예시한다. 도 9는 포지티브(positive) 및 네거티브(negative) z-높이 편차들을 식별하는 측정치 B 및 D를 갖는 기판의 2차 보우잉을 예시한다. 회로들을 생성하기 위한 종래의 프로세싱은 일면형(one-sided)(작업 표면 상에서의 제조)이기 때문에, 보우잉은 신속하게 전개될 수 있다. 동일한 반대 크기의 응력들은 서로 상쇄될 수 있다. 따라서, 본 명세서의 한 기법은 작업 표면(기능 또는 더미 구조들 중 어느 하나)에 대해 제조되는 것과 동일한 구조를 기판의 후방 표면 상에 제조하는 것이다. 그러나, 이러한 양면형(dual-sided) 마이크로제조는, 이것이 금속화 또는 패키징 이전에 취약한(fragile) 구조물들을 파괴할 수 있으므로, 기판 홀더 또는 척 상에 작업 표면을 배치하는 것을 피하는 것이 바람직하기 때문에, 힘든 것일 수 있다. 따라서, 후방 상에 완화 패턴의 제조를 위해 단순히 웨이퍼를 뒤집는 것은 바람직하지 않다.
그러나, 본 명세서의 기법들은 응력들을 증가시킬 수 있는 필름들을 부가하는 후방 프로세싱 기법들을 포함하고, 그 후 이러한 필름들 상의/내의 위치들을 선택적으로 완화하여 보우 편차들을 조정하도록 내부 응력들을 튜닝한다. 부가된 층들 또는 필름들은 인장 응력 또는 압축 응력을 기판에 선택적으로 부가할 수 있다. 예를 들어, 도 10은 기판에 부가되는 인장 실리콘 질화물의 그래프를 예시한다. 성막된 SiN의 두께가 증가함에 따라, 기판 상의 포지티브 보우(z-높이 편차)도 증가한다. SiN의 두께가 감소됨에 따라, 포지티브 보우잉 편차도 또한 감소한다. 압축 응력을 갖는 필름을 성막할 때, 유사하지만 반대되는(mirrored) 결과가 발생한다. 압축 필름의 두께가 증가함에 따라, 네거티브 보우가 증가한다. 마찬가지로, 그러한 압축 필름을 위치들에서 제거하는 것은 이러한 위치들에서의 네거티브 보우잉을 감소시킨다.
후방 프로세싱의 기법들은 다수의 단계들을 포함할 수 있다. 예를 들어, 예비 단계로서 후방 표면이 스트리핑되거나 세정될 수 있다. 그 후, 주어진 웨이퍼의 보우를 측정하는 계측 단계가 실행될 수 있다. 이 보우 측정은 타겟팅된 후방 프로세싱에 사용될 수 있다. 웨이퍼는 하나 이상의 재료들로 코팅될 수 있다. 이러한 코팅(들)은 필요한 경우 베이킹 단계로 설정될 수 있다. 그 후, 후방 표면은 화학 복사선 패턴에 노출된다. 화학 복사선의 패턴은 보우 측정 또는 보우 시그니처에 기반한 오버레이 수정 패턴일 수 있다. 예를 들어, 예컨대 직접 기록 투영 디바이스를 사용함으로써, 웨이퍼 후방 상의 공간적 위치들에 더 많거나 더 적은 복사선이 노출될 수 있다. 이것은 또한 수정된 웨이퍼 보우 이미지에 코팅을 노출시키는 것으로 간주될 수 있다. 웨이퍼의 후방은 그 후 패터닝된 노광 후 용해성(soluble) 부분들을 제거하기 위해 현상되고 스트리핑된다. 결과적인 릴리프 패턴은 하나 이상의 아래 놓인 층들을 에칭하기 위한 에칭 마스크로서 사용될 수 있으며, 이는 내부 응력들(압축 또는 인장)을 완화시켜, 결국 기판의 z-높이 편차들을 변경한다. 결과는 오버레이 에러들을 감소시키는 변경된 보우이다.
하나 이상의 툴들 및/또는 모듈들이 본 명세서의 기법들을 위해 사용될 수 있다. 예를 들어, 단일 툴은 모두 공통 플랫폼 상에 있는, 코터/디벨로퍼 모듈들, 베이크 모듈들, 계측 모듈들 및 이미징 모듈들을 포함할 수 있다. 대안적인 실시예들은 별도의 툴들/시스템들을 사용할 수 있으나, 툴들 간에 수동 웨이퍼 이식(porting)을 요구할 수 있다. 공통 플랫폼의 이점은 효율성 향상이다.
본 명세서의 웨이퍼 보우 수정 시스템은 소정량의 오버레이 에러 및 보우를 갖는 기판의 입력을 수신한다. 시스템은 보우 편차들을 매핑하기 위해 기판을 측정하고, 오버레이 수정 또는 보우 수정 패턴을 생성한 다음, 기판 상의 좌표 위치에 의해 선택적으로 응력들을 완화/증가시키기도록 후방을 처리한다. 그 후, 비교적 감소된 오버레이 에러 또는 감소된 웨이퍼 보우를 갖는 기판이 반송된다. 처리 단계들은 후방 코팅 및 베이킹, 이미징, 후방 습식 에칭 현상 및 하나 이상의 코팅들의 플라즈마 스트리핑을 포함할 수 있다. 본 명세서의 플랫폼 기반 솔루션은 기계적으로 보우를 수정한다. 기법들은 주어진 제조 흐름 내에서 사용되는 오프라인 툴로서 구현되어, 보우 시그니처를 완전히 철회시킬 수 있다. 다시 말해, 이전 제조 기법들로부터의 웨이퍼 데이터는 입력을 위해 필요하지 않다. 시스템들은 모두 공통 플랫폼 상에 있는 계측 장비 및 프로세싱 장비와 함께 구현될 수 있다. 본 명세서의 기법들은 나노미터 스케일의 오버레이 에러들을 수정하기에 충분한 5 미크론 분해능의 후방 습식 에칭을 제공할 수 있다.
도 1은 기판 오버레이를 수정하기 위한 시스템의 평면도이다. 일반적으로, 시스템(100)은 여러 모듈들과 함께 다양한 웨이퍼 핸들링 컴포넌트들 또는 캐리어들을 포함한다. 캐리어 블록(110)은 웨이퍼 캐리어들(112)을 수신하기 위한 스테이지들(111)을 포함한다. 웨이퍼 캐리어들(112)은 여러 반도체 웨이퍼들을 수용할 수 있다. 도어(114)는 웨이퍼 캐리어들 내의 다수의 기판에 액세스하기 위해 개방될 수 있다. 이송 아암(116)은 처리 블록(120)에서 웨이퍼 캐리어들(112)로부터 선반 유닛(121)으로 기판들을 이송할 수 있다. 이송 아암(123)은 선반 유닛(121)에 인접하게 위치설정될 수 있고, 수직으로뿐만 아니라 앞뒤로 이동할 수 있다. 이송 아암(125)은 모듈들(131-139) 사이에서 이동하도록 선반 유닛(121) 또는 이송 아암(123)으로부터 기판들에 액세스할 수 있다.
시스템(100)은 바람직한 실시예에 따라 다양한 상이한 모듈들을 포함할 수 있다. 선택적으로, 후방 프로세싱 모듈, 또는 후방 프로세싱 모듈과 계측 모듈이 존재한다. 그러나, 별도의 시스템이 계측에 사용되며, 주어진 기판을 측정하고 시스템(100)에 보우 측정치들을 제공할 수 있다. 다른 실시예들에서, 계측 모듈은 시스템(100) 내에 포함된다. 예를 들어, 계측 모듈(131)은 기판의 보우를 측정하고, 보우 측정치를 발생시키도록 구성될 수 있다. 이러한 보우 측정치는 하나 이상의 기준 z-높이 값들에 관하여 기판 상의 z-높이 편차들을 맵핑한다. 다시 말해, z-높이 편차들은 기판의 표면에 걸쳐 z-높이 편차들을 식별하기 위해 예컨대, 좌표 위치들로 공간적으로 맵핑된다. Z-높이 편차들은 사용된 계측 장비의 타입 및/또는 원하는 분해능에 따라 다양한 분해능으로 맵핑될 수 있다. 보우 측정치들은 원본(raw) 보우 데이터를 포함할 수 있거나, 또는 상대 값들을 갖는 보우 시그너처로서 표현될 수 있다. 다수의 실시예들에서, 기준 z-높이 값들은 모두 0에 가까울 수 있고, 따라서 편평한 것에 가까운 웨이퍼를 묘사한다는 것에 유의한다. 예를 들어, 본 명세서의 오버레이 개선을 위해 편평한 것에 가깝거나 편평한 것으로 간주되는 웨이퍼는 10 미크론 미만의 평균 편차를 갖는 웨이퍼일 수 있다. 다른 실시예들에서, 기준 z-높이 값들은 몇몇 비평면 형상을 나타낼 수 있지만, 이 형상은 특히 마이크로 제조의 특정 스테이지들에 대해, 오버레이 에러 수정에 유용하다. 본 명세서의 기법들은 10 미크론 초과 500 미크론 미만의 보우잉의 수정을 가능하게 한다. 계측 모듈은 작업 표면을 갖고 작업 표면에 반대되는 후방 표면을 갖는 기판을 측정하도록 구성된다. 기판은 기판의 작업 표면 상에 반도체 디바이스의 적어도 일부를 생성하기 위해 실행된 하나 이상의 마이크로 제조 프로세싱 단계들로부터 초래되는 초기 오버레이 에러를 갖는다. 예를 들어, 트랜지스터 게이트들은 완료되거나 부분적으로만 완료될 수 있다.
도 3은 계측 모듈(131)로서 사용하기 위한 것과 같은, 기판 측정 또는 검사를 위한 모듈의 개략적 단면도이다. 기판(105)은 경계 지지부(perimeter support)(151)와 함께 유지된다. 기판(105)은 작업 표면(106) 및 후방 표면(107)을 포함한다. 작업 표면 또는 후방 표면이 측정될 수 있다는 것을 유념한다. 이 예시에서, 후방 표면(107)은 측정을 위해 설정된다. 측정 아암(154)은 후방 표면을 가로지르는 z-높이 편차들을 측정하기 위한 센서들(156)을 포함할 수 있다. 광학, 음향 및 다른 것들을 포함하여 z-높이 편차들을 측정하는데 이용가능한 여러 메커니즘들이 있다. 기판(105)은 측정 아암(154)이 움직이는 동안 고정될 수 있다. 대안적으로, 기판(105)은 회전할 수 있다. 측정 아암(154)은 선택된 측정 메커니즘의 타입에 따라 후방 표면(107)과 접촉하는 센서들(156)을 가질 수 있다.
도 1로 돌아가서, 제어기(140)는 시스템(100)에 연결된다. 제어기(140)는 시스템(100) 내에 위치되거나 시스템(100)의 컴포넌트들과 원격으로 위치하지만 통신할 수 있는 컴퓨터 프로세서일 수 있다. 제어기(140)는 보우 측정치를 수신하고, 보우 측정치에 기반하여 오버레이 수정 패턴을 발생시키도록 구성된다. 보우 측정치는 계측 모듈(131)로부터 수신되거나 또는 별도의 시스템으로부터 수신될 수 있다. 오버레이 수정 패턴은 보우 측정치에 기반하여 기판 상의 특정 위치들에서 내부 응력들에 대한 조정들을 정의한다. 오버레이 수정 패턴은 또한 보우 측정치 뿐만 아니라 기판의 작업 표면의 디바이스 파라미터들에 기반할 수 있다. 예를 들어, 상대적으로 깊은 메모리 어레이는 로직을 위한 finFET 디바이스를 구성하는 초기 스테이지들에 비해 더 많은 응력 변경을 요구할 수 있다. 오버레이 수정 패턴은, 평면간 편차(inter-plane deviation), 기준 평면으로부터의 Z-높이 편차, 관심 위치에 대한 다차 도함수 분석(multi-order derivative analysis), 제르니케 다항식(Zernike polynomial)의 분석, 픽셀화된 기본 함수 최적화(pixelated base functions optimization), 및 구형 베셀 함수(spherical Bessel function)와 같은 다양한 계산 방법들 중 임의의 것을 사용하여 발생되거나 계산될 수 있다.
이 오버레이 수정 패턴에서, 기판 상의 제1 주어진 위치는 오버레이 수정 패턴에서 기판 상의 제2 주어진 위치에 비교하여 상이한 정의된 내부 응력 조정을 갖는다. 다시 말해, 응력 수정들은 기판 표면 상의 위치에 특정적이다. 이 위치는 기판의 포인트 위치, 영역 또는 구역일 수 있다. 예를 들어, 오버레이 수정 패턴은 내부 응력 조정들을 정의하여 편평한 기판(보우잉 없음) 또는 후속 패터닝 프로세스들에 유리한 몇몇 선택된 보우잉을 초래할 수 있다. 이러한 내부 응력 조정들은 기판의 후방 표면 상에 성막된 필름들의 타입 및 두께에 기반할 수 있다.
시스템은 기판의 변경된 보우를 초래하는 오버레이 수정 패턴에 따라, 기판 상의 특정 위치들에서 기판 상의 내부 응력들을 물리적으로 변경하도록 구성되는 기판 처리 컴포넌트들 및 기판 홀더를 갖는 하나 이상의 프로세싱 모듈을을 포함할 수 있다. 변경된 보우를 갖는 기판은 제2 오버레이 에러를 갖는다. 제2 오버레이 에러는 초기 오버레이 에러에 비교하여 감소된 오버레이 에러를 갖는다. 프로세싱 모듈은 내부 응력들을 차등적으로(differentially) 변경하도록 구성될 수 있으며, 여기서 프로세싱 모듈은 상이한 위치들의 적어도 일부가 서로 비교하여 상이하게 변경되도록, 기판 상의 상이한 위치들을 독립적으로 변경하도록 구성된다. 블랭킷 또는 고른/대칭적 내부 응력 수정들이 이루어질 수 있지만, 본 명세서의 프로세싱 모듈은 기판 상의 좌표 위치에 의해 응력을 변형하도록 구성될 수 있다.
프로세싱 모듈은 기판 상의 위치들에서의 내부 응력들을 증가 시키거나 완화시키고, 작업 표면 또는 후방 표면 상의 내부 응력을 변형하도록 구성될 수 있다. 프로세싱 모듈은, 기판의 후방 표면 상의 내부 응력들을 물리적으로 변형하면서, 작업 표면이 (기판의 중력 인력으로부터 멀리) 위를 향하고 있게끔 기판을 유지하도록 구성될 수 있다. 계측 모듈 및 프로세싱 모듈은 기판을 계측 모듈로부터 프로세싱 모듈로 자동적으로 이동시키는 자동화된 기판 핸들링 시스템을 갖는 공통 플랫폼 상에 있을 수 있다.
프로세싱 모듈은, 기판 상의 제1 주어진 위치가 제2 주어진 위치와 비교하여 더 많은 재료가 부가될 수 있다는 점에서 기판의 후방 표면 상의 재료의 위치-특정 부가에 의해 기판 상의 내부 응력들을 변경하도록 구성될 수 있다. 예를 들어, 후방 성막 시스템은 광 또는 열의 패턴이 후방 표면 상에 투영되는 동안 화학 기상 증착을 사용할 수 있다. 화학 기상 증착은 표면 온도에 의존할 수 있기 때문에, 후방 표면 상에 투영된 광 또는 열 패턴에 기반하여 더 많거나 더 적은 재료가 성막될 수 있다. 따라서, 재료의 상이한 양이 좌표 위치에 의해 성막된다. 이러한 차등적 성막 프로세스가 완료되면, 기판은 오버레이 에러를 수정하거나 감소시키는 변경된 보우를 갖는다.
다른 실시예들에서, 프로세싱 모듈은, 기판 상의 제1 주어진 포인트 위치가 제2 주어진 포인트 위치와 비교하여 더 많은 재료가 감소될 수 있다는 점에서 기판의 후방 표면 상의 재료의 위치-특정 제거에 의해 기판 상의 내부 응력들을 변경하도록 구성될 수 있다. 이는 먼저 기판의 후방 표면에 하나 이상의 필름들을 부가한 다음, 예컨대 에칭 마스크를 사용하여 후방 표면을 에칭함으로써 주어진 위치들에서 하나 이상의 필름들로부터 재료를 선택적으로 제거하는 단계를 포함할 수 있다. 다른 실시예들에서, 프로세싱 모듈은, 기판 상의 제1 주어진 위치가 제2 주어진 위치와 비교하여 더 많은 입자들이 주입될 수 있다는 점에서 기판의 후방 표면으로의 입자들의 위치-특정 주입에 의해 기판 상의 내부 응력들을 변경하도록 구성될 수 있다. 예를 들어, 이온 주입 툴은 인장력/압축력을 증가 또는 감소시키기 위해 작업 표면 또는 후방 표면에 입자들을 주입하여 기판의 보우를 변화시킬 수 있다. 이러한 선택적 증가 또는 감소는 처리되고 있는 표면 재료의 타입 뿐만 아니라 주입되는 입자들의 타입에도 의존할 수 있다. 프로세싱 모듈은 경화 필름의 위치-특정 온도 변조에 의해 기판 상의 내부 응력들을 변경하도록 구성될 수 있다. 경화되고 있는 주어진 필름은 경화 온도에 기반하여 더 강하거나 더 약한 결합을 형성할 수 있다. 개별적인 투영된 픽셀들이 오버레이 수정 패턴에 기반하여 독립적으로 다뤄지거나 강도가 변화될 수 있는 열 또는 광의 투영을 사용함으로써, 경화 동안 온도 변조가 달성될 수 있다.
응력들을 변경하기 위해 기판에 대한 처리 타입에 따라 하나 이상의 모듈들에서 프로세싱이 실행될 수 있다. 따라서, 여러 모듈들이 선택적으로 사용될 수 있다. 실시예들을 설명하는데 편의상, 응력을 변경하기 위한 다양한 메커니즘들(차등적 성막, 차등적 경화, 이온 주입, 선택적 에칭)이 있으나, 이 개시물은 선택적 에칭과 관련하여 더 많은 예시적인 실시예들을 제공할 것이다.
시스템(100)은 기판의 후방 표면 상에 하나 이상의 필름들을 성막하도록 구성된 성막 모듈(132)을 포함할 수 있다. 성막 모듈(132)은 반대 응력들의 둘 이상의 필름들을 성막하도록 구성될 수 있다. 대안적으로, 별개의 성막 모듈들은 반대되는 응력의 각각의 필름에 대해 사용된다. 증착 모듈(132)은 위를 또는 아래를 향하고 있는 후방 표면을 갖는 기판(105)을 경계부(perimeter)에 유지하도록 구성될 수 있다. 후방 표면은 유동성 또는 스핀-온 재료들에 대해 위를 향할 수 있다. 또는 작업 표면이 위쪽을 향하게 유지하면서, 후방 표면 상에 성막이 실행될 수 있다. 예를 들어, 도 5는 성막 가스를 후방 표면을 향해 유동시키는데 사용되는 가스 분배 유닛(161)을 갖는 예시적인 성막 모듈의 개략적 단면도이다. 위치-특정 성막을 갖는 실시예들에서, 도 6의 투영 시스템(167)은 차등적 성막의 패턴 및 그에 따른 차등 응력들을 투영하기 위해 성막 모듈(예를 들어, 가스 분배 유닛의 측면 상에 위치설정됨)에 부가될 수 있다.
시스템(100)은 코팅 모듈(133)을 포함할 수 있다. 코팅 모듈(133)은 포토레지스트와 같은 복사선 감응 재료로 기판의 후방 표면을 코팅하도록 구성된다. 도 4는 예시적인 코팅 모듈의 개략적 단면도이다. 위치설정 아암(157)은 노즐(158)을 정지 또는 회전 기판 아래의 다양한 영역들로 이동시킬 수 있다. 회전은 경계부 회전 장치(perimeter rotator)들에 의해 영향을 받을 수 있다. 몇몇 실시예들에서, 레지스트는 후방 표면 상에 스프레이될 수 있다. 다른 실시예들에서, 노즐(158)은 점성 레지스트가 퍼지거나, 브러싱되거나, 그렇지 않으면 기판 상으로 가압됨에 따라, 후방 표면과 거의 접촉할 수 있다.
베이킹 모듈(134)은 시스템(100)에 포함될 수 있으며, 기판의 후방 표면 상에 복사선 감응 재료를 베이킹하도록 구성될 수 있다. 베이빙 모듈(134)은 노광 전 베이킹, 노광 후 베이킹, 및 현상 후 베이킹과 같은 종래의 패터닝과 같은 다양한 프로세싱 단계들에서 사용될 수 있다. 베이킹 모듈은 통상 알려져 있다.
제어기(140)는 기판의 보우 측정치에 기반하여 기판 상의 특정 위치들에서 내부 응력들에 대한 조정들을 정의하는 오버레이 수정 패턴을 발생시키도록 구성된다. 이 오버레이 수정 패턴(또는 보우 수정 패턴)에서, 기판 상의 제1 주어진 위치는 오버레이 수정 패턴에서 기판 상의 제2 주어진 위치에 비교하여 상이한 정의된 내부 응력 조정을 갖는다. 이러한 수정 패턴은 1차(first order) 보우잉, 2차 보우잉 또는 그 이상의 보우잉을 감소시키기 위해 계산될 수 있다. 오버레이 수정 패턴은 포토레지스트에 투영될 화학 복사선(actinic radiation)의 패턴으로서 나타낼 수 있다.
오버레이 수정 패턴 또는 이미지는 그렇지 않으면 제거될 레지스트의 영역에 생성될 충분한 추가 지지물로 정의될 수 있다. 예를 들어, 라인의 행들 또는 메사(mesa)의 어레이들은 포토리소그래피 척 상에 위치설정될 때 포토리소그래피 척 상의 핀들과 접촉하기에 충분한 하부 패턴으로의 전사를 위한 현상 이후에, 기계적 지지 구조물들을 제공하도록 패터닝될 수 있다. 종래의 포토리소그래피 척들은 완벽하게 편평한 표면을 갖는 이 척 대신에 기판이 놓이는 핀들의 어레이를 갖는다. 척 핀 직경은 직경이 100-150 미크론일 수 있다. 이것은 비교적 큰 재료 영역이 기판의 후방 표면으로부터 제거되어야 하는 몇몇 오버레이 수정 패턴의 경우일 수 있다. 이 재료를 제거하면 척 지지 핀들과 접촉하는 갭 또는 누락된 재료가 있을 것이다. 따라서, 오버레이 수정 패턴은, 후방 표면을 에칭하고 포토레지스트를 세정한 후, 기판을 클랭핑할 때 포토리소그래피 척과 접촉하는 후방 표면 상에 충분한 지지물들이 여전히 존재하도록 보장하기 위해, 특정 영역들에 충분한 지지물들(예컨대, 라인들 또는 기둥(pillar)들)을 필수적으로 부가할 수 있다.
시스템(100)은 오버레이 수정 패턴에 기반하여 화학 복사선의 패턴에 상기 후방 표면을 노출시키도록 구성되는 이미징 모듈(135)을 포함한다. 몇몇 실시예들에서, 포토마스크 기반 투영 시스템이 사용될 수 있으나, 다른 실시예들에서는 직접 기록 시스템이 사용될 수 있다. 직접 기록 노광 시스템들의 한 가지 이점은, 화학 복사선의 패턴이 각각의 기판 보우 측정치에 기반하여 프로세싱된 각각의 기판에 따라 변할 수 있다는 것이다. 도 6은 오버레이 수정 패턴에 기반한 화학 복사선의 패턴에 기판의 후방 표면을 노광하는 투영 시스템(167)의 개략적 단면도이다. 투영 시스템(167)이 후방 표면을 아래로부터 노출시키는 동안, 기판은 작업 표면이 위로 향하게 유지될 수 있다. 이미지 투영 시스템은 예를 들어, 오버레이 수정 패턴에 따라 픽셀 기반 이미지를 기판의 후방 표면 상에 투영하는 마이크로 미러 투영 디바이스를 사용할 수 있다. 하나 이상의 마이크로 미러들은 기판 상에 광을 지향시키는데 사용될 수 있다. 예시적 마이크로 미러 투영 디바이스들은 디지털 광 투사(DLP, Digital Light Projection) 칩들, 레이저 갈바노미터(galvanometer)들, 및 회절 광 밸브(grating light valve)들을 포함한다. 이미지는 한 번에 모두 투영되거나 한 줄씩 스캔될 수 있다. 오버레이 수정 패턴에 따라 포토레지스트의 층 위로 레이저 빔 또는 다른 광원을 조종할 수 있는 임의의 다른 기술이 사용될 수 있다. 웨이퍼 보우를 변경하기 위한 본 명세서의 오버레이 수정을 위해, 다양한 광원들이 사용될 수 있고, 436 나노미터, 405 나노미터, 365 나노미터, 248 나노미터 및 193 나노미터를 포함하는 다양한 스펙트럼 라인들이 사용될 수 있다. 여기서 오버레이 수정에 대해, 수 미크론 스케일의 패터닝 및 응력 완화/증가는 오버레이에서 나노미터 조정들/개선들을 초래할 수 있음에 유의해야 한다. 따라서, 종래의 포토리소그래피 툴들은 필요하지 않고, 대신 노광은 여기서 일부 스캐너 시스템들의 2-자리 나노미터 분해능과 비교하여 상대적으로 낮은 분해능을 사용하여 효과적일 수 있다.
오버레이 수정 패턴은 단일 노광으로부터의 투영에 기반하여 생성 또는 조정될 수 있다. 예를 들어, 재료 제거가 필요 없는 영역들(또는 구역들 또는 포인트 위치들)에서, 포토레지스트는 남아있을 수 있고, 최대 내부 응력완화가 필요한 영역들의 경우, 이 영역들은 포토레지스트가 완전히 제거될 수 있다. 0과 최대치 사이의 응력 튜닝이 필요한 영역들의 경우, 이 영역은 더 작거나 더 큰 개구들, 메사들 또는 라인들의 가변 어레이들 등으로 변환될 수 있다. 뉴스 인쇄에서 그레이 스케일을 만드는데 사용된 검정색 잉크와 유사하게, 특정 위치들에서 포토레지스트의 더 많거나 더 적은 개구들이 생성되어 응력들을 차등적으로 튜닝할 수 있다. 이 프로세스는 사용되고 있는 포토레지스트의 톤 뿐만 아니라 사용되고 있는 디벨로퍼의 톤에 따라 반전될 수 있음에 유의해야 한다. 화학 복사선 노출의 양은 또한 응력 완화/증가를 위해 부가된 주어진 필름의 두께에 기반할 수도 있다.
이미징 모듈은 다른 프로세싱 모듈들 중에서 처리 블록(120) 내에 포함될 수 있거나, 도 2에 예시된 처리 블록(130)과 같은 별도의 블록에 있을 수 있다. 예를 들어, 본 명세서의 다양한 모듈들은 트랙(track) 시스템의 하나 이상의 모듈들로서 포함되도록 구성될 수 있다. 트랙 시스템은 스캐너 또는 스테퍼 툴을 연결하도록 루틴하게 설계되었다. 직접 기록 노광 툴은 오버레이 수정을 위해 재구성된 그러한 트랙 시스템에 연결될 수 있다.
시스템(100)은 현상 모듈(136)을 포함할 수 있다. 도 4는 또한 노광된 포토레지스트에 용제 디벨로퍼가 스프레이되거나 다르게 적용되어 포토레지스트에서 잠상(latent image)을 현상하는 현상 구성을 예시할 수 있다. 용해성(soluble) 부분들이 포토레지스트의 층으로부터 제거된 후에, 결과는 에칭될 영역들을 정의하는 릴리프 패턴이다.
시스템(100)은 에칭 모듈(137)을 포함할 수 있다. 에칭 모듈(137)은 플라즈마 또는 기상 에칭 또는 습식 에칭을 사용하도록 구성될 수 있다. 본 명세서의 기판 보우(Z-높이) 수정에 있어서, 습식 에칭은 효과적인 결과들을 제공하기에 충분하며, 또한 비용 효율적인 해결책이다. 한 자릿수 미크론의 낮은 분해능 정도의 패턴 개구들로 유익한 결과들이 얻어질 수 있다. 이러한 스케일에서, 에칭 마스크의 임의의 언더컷팅(undercutting)이 공차 내에 있기 때문에 습식 에칭이 충분하다. 따라서, 에칭 모듈(137)은 릴리프 패턴을 에칭 마스크로서 사용하여 기판의 오버레이 에러를 감소시키도록 구성된다.
시스템(100)은 또한 스트리핑 모듈을 포함할 수 있는 세정 모듈(138)을 포함할 수 있다. 또는 별도의 스트리핑 모듈이 사용된다. 이러한 스트리핑 모듈은 에칭 동작 후에 남아있는 복사선 감응 재료를 후방 표면으로부터 제거하도록 구성될 수 있다. 스트리핑 모듈은 액체 화학(liquid chemistry)을 사용하여 포토레지스트를 제거할 수 있거나 또는 예컨대 플라즈마를 사용하여 남아있는 포토레지스트를 애싱(ash) 또는 연소시킬(burn off) 수 있다. 이러한 플라즈마 스트리핑 모듈은 회전 기판을 가로질러 이동되는 포인트 위치 플라즈마일 수 있다. 도 4는 스트리핑 모듈 또는 세정 모듈의 예시적인 구성을 예시한다. 다른 모듈들과 마찬가지로, 스트리핑 또는 세정 모듈은 기판이 작업 표면이 위로 향하도록 유지되는 동안 기판의 후방 표면을 세정/스트리핑하도록 구성될 수 있다. 검사 모듈(139)은 선택적으로 오버레이 수정 프로세싱 후의 결함들을 검사하는데 사용될 수 있다.
자동화된 기판 핸들링 시스템은 계측 모듈, 성막 모듈, 코팅 모듈, 베이킹 모듈, 이미징 모듈, 현상 모듈 및 에칭 모듈을 포함하는 다양한 모듈들 사이에서 기판을 이송하도록 구성된다. 두 개 이상의 다양한 모듈들이 모두 동일한 플랫폼 또는 공통 플랫폼 상에 있을 수 있다. 자동화된 기판 핸들링 시스템은 기판의 작업 표면이 위를 향하여 유지되는 동안, 주어진 기판이 이 오버레이 수정 프로세스를 통해 수행되는 후방 프로세싱을 가질 수 있지만, 다양한 모듈들에 의해 필요에 따라 기판을 회전시키거나 뒤집도록(flip) 구성될 수 있다. 작업 표면이 아래를 향해 있고 종래의 기판 홀더 또는 척에 의해 유지되도록 기판을 뒤집는 실시예들에 있어서, 기법들은 작업 표면(및 그 위의 디바이스들)을 보호하기 위해 작업 표면에 보호 필름을 부가하는 것을 포함할 수 있다.
본 명세서의 기법들은 하나 이상의 시스템들 상에서 실행될 수 있는, 웨이퍼 오버레이를 수정하기 위한 방법들을 또한 포함한다. 일 실시예에서, 작업 표면 및 작업 표면 반대편의 후방 표면을 갖는 기판이 수용된다. 기판은 기판의 작업 표면 상에 반도체 디바이스의 적어도 일부를 생성하기 위해 실행된 하나 이상의 마이크로 제조 프로세싱 단계들로부터 초래되는 초기 오버레이 에러를 갖는다. 도 11은 프로세싱 이전의 예시적인 기판 세그먼트의 측단면도이다. 도 12는 그 위에 형성된 디바이스들(171)을 예시한다. z-높이 편차들은 기판의 작업 표면 상에 생성된 구조들의 타입에 따라 변할 수 있으나, 형성 디바이스들(171)은 네거티브 보우잉을 야기하는 것에 유의해야 한다.
하나 이상의 기준 z-높이 값들에 관하여 기판 상에 z-높이 편차들을 맵핑하는 기판의 초기 보우 측정치가 수신된다. 이 초기 보우 측정치는 보우 측정 툴로부터 수신되거나, 기판의 곡률 측정들 또는 다른 측정 기법들로부터 유도될 수 있다. 도 15는 오버레이 에러를 갖는 주어진 웨이퍼 상의 보우의 그래픽 측정치이다. 이해의 편의를 위해, 보우 측정 묘사는 단순화된 이미지들을 도시한다.
기판의 초기 보우 측정치에 기반하여 기판 상의 특정 위치들에서 내부 응력들에 대한 조정들을 정의하는 오버레이 수정 패턴이 발생된다. 오버레이 수정 패턴에서, 기판 상의 제1 주어진 위치는 오버레이 수정 패턴에서 기판 상의 제2 주어진 위치에 비교하여 상이한 정의된 내부 응력 조정을 갖는다. 도 16은 보우 변경 및 오버레이 에러 감소를 위한 오버레이 수정 패턴의 그래픽적 표현이다. 오버레이 수정 패턴은 그 후 오버레이 에러를 감소시키도록 계산된 기판 상의 복수의 위치들의 기판 상의 내부 응력들에 대한 위치-특정 조정들을 정의할 수 있다.
오버레이 수정 패턴은 기판의 작업 표면의 디바이스 파라미터들에 기반할 수 있다. 예를 들어, 디바이스 타입(finFET, NAND, 캐패시터 열들 등 - 그것의 연관 재료 타입들, 공간 밀도들 및 종횡비들을 갖고 제조되는 -)을 알고 있는 것은 다루게 될 보우 편향 및/또는 튜닝할 내부 응력의 양에 영향을 줄 수 있다.
기판 상의 특정 위치들에서 기판의 내부 응력들은 그 후 기판의 변경된 보우를 초래하는 오버레이 수정 패턴에 따라 물리적으로 변경된다. 변경된 보우를 갖는 기판은 제2 오버레이 에러를 갖는다. 제2 오버레이 에러는 초기 오버레이 에러에 비교하여 감소된 오버레이 에러를 갖는다. 도 17은 감소된 보우잉 및 감소된 오버레이 에러를 보이는 제2 또는 후속 보우 측정치를 예시한다. 변경된 보우가 평면인 기판일 수 있지만, 변경된 보우는 또한 개선된 오버레이 정확도를 갖는 비평면 기판을 특징화할 수 있다. 내부 응력들을 물리적으로 변경하는 것은 기판 상의 상이한 위치들이 독립적으로 변경되고 상이한 위치들의 적어도 일부가 서로와 비교하여 상이하게 변경되도록, 내부 응력들을 차등적으로 변경하는 것을 포함할 수 있다. 따라서 응력들은 독립적으로 그리고 공간적으로 변경될 수 있다.
이러한 변경은 작업 표면 또는 기판의 후면 표면 상의 내부 응력들을 증가시키거나 완화시키는 것을 포함할 수 있다. 기판 상의 내부 응력들을 물리적으로 변형시키는 동작은 기판의 작업 표면 상에 집적 회로를 제조하는 동안 여러 번 발생할 수 있다. 예를 들어, 기판이 오버레이 에러가 공차를 벗어나는 지점으로 보우잉됨에 따라, 영향을 받은 기판은 시스템(100)에 이식(port)될 수 있고, 그 후 본 명세서의 오버레이 수정 기법들이 실행될 수 있다. 따라서, 기판을 측정하고 내부 응력들을 변경하는 모든 프로세스들이 하나 이상의 모듈들을 사용하여 공통 플랫폼 상에서 실행될 수 있다. 이러한 내부 응력 튜닝은 하나 이상의 처리 프로세스들을 사용하는 것을 포함할 수 있다. 예시적인 프로세싱은 위치-특정 입자 주입, 위치-특정 에칭, 위치-특정 교차 결합, 위치-특정 온도 차등 경화, 위치-특정 차등 성막 등을 포함한다. 예를 들어, 특정 플라스틱 재료는 성막되거나, 코팅되거나 또는 다른 방식으로 후방 표면에 도포될 수 있다. 이 플라스틱 필름(또는 탄소 필름, 단량체 필름 등)은 초기에는 상당한 내부 응력을 갖지 않다. 직접 기록 노광 툴 또는 다른 국소화된 영역-특정 가열 메카니즘을 사용하여, 노광 툴이 교차 결합을 야기시킨 특정 위치들(구역들)에서 플라스틱 필름이 교차 결합될 수 있다. 이러한 국소화된 가열 또는 노광은 이러한 특정 위치들에서 내부 응력들을 생성하며, 이는 결국 보우 수정을 야기하여 웨이퍼 오버레이를 수정하게 된다. 이 실시예에서, 플라스틱 필름은 후속 마이크로 제조 단계들 동안 후방 표면 상에 남아있을 수 있다. 이 실시예는 또한 현상 및 에칭 단계 없이 오버레이 수정을 제공할 수 있다.
오버레이 수정 패턴은 z-높이 또는 곡률 수정을 위한 임의의 개수의 계산 방법들을 사용하여 발생될 수 있다. 예시적인 계산 방법들은 평면간 편차, 기준 평면으로부터의 Z-높이 편차, 관심 위치에 대한 다차 도함수 분석, 제르니케 다항식의 분석, 픽셀화된 기본 함수 최적화, 및 구형 베셀 함수 등을 포함한다. 관심 위치들은 또한 실험 또는 실험적 교정(experimental calibration)으로부터 유도될 수 있다. 보우과 곡률은 관련이 있다. 이러한 기판 보우 수정을 위해, 예시적인 곡률 계산들이 아래에 도시되어 있다:
변위(displacement) 필드:
Figure 112017112324061-pat00018
곡률 필드들:
Figure 112017112324061-pat00019
평균 곡률:
Figure 112017112324061-pat00020
가우스 곡률:
Figure 112017112324061-pat00021
도 10에 도시된 바와 같이, 보우잉 정도는 기판 표면에 부가된 응력 재료의 양에 따라 선형적으로 증가 및 감소한다. 따라서, 제거될 재료의 두께는 간단한 계산들을 통한 보우 측정치에 기반할 수 있다. 보우 측정치들 또는 평균값들의 크기로, 후면 표면 상에 증착시키기 위해 필름들의 특정 두께가 결정될 수 있다. 그 후 재료가 특정 위치들로부터 제거되어, 개선된 오버레이를 위해 기판 보우를 변경/수정하기 위한 반대 또는 보상 응력들/힘들을 초래한다.
다른 실시예에서, 웨이퍼 오버레이를 수정하기 위한 방법이 사용된다. 작업 표면 및 작업 표면 반대편의 후방 표면을 갖는 기판이 수용된다. 기판은 기판의 작업 표면 상에 반도체 디바이스의 적어도 일부를 생성하기 위해 실행된 하나 이상의 마이크로 제조 프로세싱 단계들로부터 초래되는 초기 오버레이 에러를 갖는다. 도 12는 작업 표면 상에 디바이스들(171)의 결과로서 보우잉을 갖는 예시적인 기판 세그먼트를 예시한다. 기판의 보우가 측정되어, 하나 이상의 기준 z-높이 값들에 관하여 기판 상에 z-높이 편차들을 맵핑하는 보우 측정치를 생성한다.
기판의 보우 측정치에 기반하여 기판 상의 특정 위치들에서 내부 응력들에 대한 조정들을 정의하는 오버레이 수정 패턴이 발생된다. 오버레이 수정 패턴에서, 기판 상의 제1 주어진 위치는 오버레이 수정 패턴에서 기판 상의 제2 주어진 위치에 비교하여 상이한 정의된 내부 응력 조정을 갖는다.
하나 이상의 필름들은 기판의 후방 표면 상에 성막된다. 예를 들어, 제1 필름(173)이 후방 표면 상에 성막될 수 있다. 제1 필름(173)은 특정 실리콘 질화물과 같은 하나 이상의 습식 에칭 화학에 내성이 있는 에칭 스탑 층으로서 선택될 수 있다. 이 제1 필름은 반대 응력(counter stress)을 도울 수 있다. 이 필름은 어떤 재료가 성막되는지 또는 어떤 타입의 질화물이 성막되는지에 따라 압축성 또는 인장성일 수 있다. 제2 필름(174)은 응력 튜닝 필름일 수 있다. 예시적인 필름은 실리콘 산화물일 수 있다. 이 둘 이상의 필름들은 반대 응력을 도울 수 있다. 이 두 필름들은 반대되는 응력들을 가질 수 있다. 예를 들어, 성막된 제1 필름은 압축 내부 응력을 가질 수 있는 반면, 제2 필름은 인장 내부 응력을 갖는다. 이러한 응력들은 반전되거나, 동일한 타입의 응력일(둘 다 인장 응력일) 수 있다. 도 13에서, 네거티브 보우 (중심선 위의) 포지티브 보우가 존재한다는 점에서 기판(105)의 보우는 반전된다. 성막된 필름으로부터의 반대 응력으로, 이러한 내부 응력은 에칭될 때 다양한 위치들에서 선택적으로 이완되거나 변경될 수 있어, 오버레이 수정 패턴이 뒤따른다. 다른 실시예들에서, 실리콘 질화물과 같은 단일 필름이 성막되고, 그 후 에칭 마스크가 상부에 형성된다.
기판의 후방 표면 상에 하나 이상의 필름들로, 후방 표면은 포토레지스트의 층으로 코팅될 수 있다. 통상적인 베이킹 프로세스는 그 후 포토레지스트를 설정할 수 있다. 그 후, 포토레지스트의 층은 오버레이 수정 패턴에 기반한 화학 복사선의 패턴을 사용하여 이미징될 수 있다. 그러한 이미징은 직접 기록 투영 디바이스를 사용하여 실행될 수 있다. 그러한 디바이스는 마이크로 미러 투영 또는 마이크로 격자 투영 또는 빔의 조종 또는 광의 투영을 위한 다른 메커니즘을 사용하여 픽셀 기반 이미지를 투영할 수 있다. 예를 들어, 그러한 마이크로 미러 투영은 마이크로 미러 어레이들 및 레이저 갈바노미터와 같은 몇몇 것들을 포함할 수 있다.
포토레지스트의 층의 용해성 부분들을 제거하기 위해 포토레지스트의 층이 그 후 현상되어, 제2 필름(174)과 같은 후방 표면의 부분들을 커버하지 않는 포토레지스트의 릴리프 패턴을 초래한다. 릴리프 패턴을 에칭 마스크로서 사용해 후방 표면의 커버되지 않은 부분들이 그 후 에칭될 수 있다. 그러한 에칭은 아래를 향하고 있는 후방 표면과 함께 실행될 수 있다. 이 에칭은 기판의 변경된 보우를 초래한다. 변경된 보우를 갖는 기판은 제2 오버레이 에러를 갖는다. 제2 오버레이 에러는 초기 오버레이 에러에 비교하여 감소된 오버레이 에러를 갖는다. 결과가 도 14에 도시된다. 제2 필름(174)은 에칭 제거된 재료를 갖는다. 릴리프 패턴(177)이 제거되었다. 영역(179)에는 몇 개의 작은 구조물들이 남아 있다. 이 특정 구역은 초기에는 완전한 재료 제거를 위해 정의될 수 있지만, 지지 구조물들은 척 핀들(181)과 접촉하도록 생성되거나 남겨진다. 따라서, 오버레이 수정 패턴은 별도의 리소그래피 툴의 기판 지지부에 기반하여 변경될 수 있다.
릴리프 패턴(177)이 에칭 마스크로서 사용된 후에, 릴리프 패턴(177)은 예컨대 포토레지스트의 층과 접촉하는 대기압 플라즈마 소스로 스트리핑되거나 애싱되거나 연소될 수 있다. 본 명세서의 모든 프로세싱 단계들은 다양한 모듈 사이에서 기판들을 이동시킬 수 있는 트랙 툴과 같은 공통 플랫폼 상에서 선택적으로 실행될 수 있다. 프로세싱 시간에 따라 더 많거나 더 적은 특정 모듈들이 사용될 수 있다. 예를 들어, 베이킹은 통상적으로 노광보다 시간이 더 오래 걸리므로, 이미징 모듈들에 비해 더 많은 베이킹 모듈들이 사용될 수 있다.
몇몇 실시예들에서, 1차 보우는 블랭킷 반대 응력 필름으로 수정될 수 있다. 2차 보우는 블블랭킷 응력 필름에 전달된 복합 또는 차등 반대(counter) 이미지 또는 오버레이 수정 패턴으로 수정될 수 있다.
전술한 설명에서, 프로세싱 시스템의 특정 기하구조 및 여기에 사용되는 다양한 컴포넌트들 및 프로세스들에 대한 설명과 같은 특정 세부사항들이 진술되었다. 그러나, 본 명세서의 기법들은 이러한 특정 세부사항들로부터 벗어나는 다른 실시예들에서 실시될 수 있고, 그러한 세부사항들은 설명을 위한 것이며 제한적인 것은 아니라는 것을 이해해야 한다. 본 명세서에 개시된 실시예들은 첨부 도면들을 참조하여 설명되었다. 유사하게, 설명을 목적으로, 철저한 이해를 돕기 위해 특정 개수, 재료 및 구성들이 제시되었다. 그럼에도 불구하고, 이러한 특정 세부사항들 없이도 실시예들이 실시될 수 있다. 실질적으로 동일한 기능 구조를 갖는 컴포넌트들은 동일한 참조 부호에 의해 표시되고, 따라서 임의의 중복 설명들은 생략될 수 있다.
다양한 기법들이 다양한 실시예들을 이해하는데 도움이 되는 다수의 이산 동작들로서 설명되었다. 설명의 순서는 이러한 동작들이 반드시 순서에 따른다는 것을 의미하는 것으로 해석되어서는 안 된다. 실제로 이러한 동작들은 표시 순서대로 수행될 필요가 없다. 설명된 동작들은 설명된 실시예와 상이한 순서로 수행될 수 있다. 다양한 추가 동작들이 수행될 수 있고 그리고/또는 설명된 동작들은 추가 실시예들에서 생략될 수 있다.
본 명세서에서 사용되는 "기판" 또는 "타겟 기판"은 일반적으로 발명에 따라 프로세싱되는 대상물을 지칭한다. 기판은 디바이스, 특히 반도체 또는 다른 전자 디바이스의 임의의 재료 부분 또는 구조물을 포함할 수 있으며, 예를 들어, 반도체 웨이퍼, 레티클, 또는 박막과 같은 베이스 기판 구조물 상의 또는 위에 놓인 층과 같은, 베이스 기판 구조물일 수 있다. 따라서, 기판은 패터닝되거나 패터닝되지 않은 임의의 특정 베이스 구조물, 아래 놓인 층 또는 위에 놓인 층으로 제한되지 않으나, 그보다는 그러한 층 또는 베이스 구조물, 및 층 및/또는 베이스 구조물들의 임의의 조합을 포함하는 것으로 고려된다. 설명은 특정 타입의 기판들을 참조할 수 있지만, 이는 단지 예시를 위한 것이다.
본 기술분야의 당업자들은 또한 발명의 동일한 목적을 여전히 달성하면서 상기 설명된 기법들의 동작들에 많은 변형을 가할 수 있다는 것을 이해할 것이다. 이러한 변형들은 본 개시물의 범위에 포함되는 것으로 의도된다. 이와 같이, 발명의 실시예들에 대한 전술한 설명은 제한하는 것으로 의도된 것이 아니다. 오히려, 발명의 실시예들에 대한 임의의 제한은 다음의 청구 범위에 제시된다.

Claims (55)

  1. 웨이퍼 오버레이를 수정하기 위한 시스템에 있어서,
    기판의 보우(bow)를 측정하고, 하나 이상의 기준 z-높이 값에 관하여 상기 기판 상에 z-높이 편차들을 맵핑하는 보우 측정치(bow measurement)를 발생시키도록 구성되는 계측 모듈 ― 상기 기판은 작업 표면(working surface) 및 상기 작업 표면 반대편의 후방 표면을 갖고, 상기 기판은 상기 기판의 작업 표면 상에 반도체 디바이스의 적어도 일부를 생성하기 위해 실행된 하나 이상의 마이크로 제조 프로세싱 단계로부터 초래되는 초기 오버레이 에러를 가짐 ― ;
    상기 보우 측정치를 수신하고, 상기 보우 측정치에 기반하여 오버레이 수정 패턴을 발생시키도록 구성되는 제어기 ― 상기 오버레이 수정 패턴은 상기 보우 측정치에 기반하여 상기 기판 상의 특정 위치들에서 내부 응력들에 대한 조정들을 정의하고, 상기 기판 상의 제1 주어진 위치는 상기 오버레이 수정 패턴에서 상기 기판 상의 제2 주어진 위치와 비교하여 상이한 내부 응력 조정이 정의됨 ― ;
    상기 기판의 변경된 보우를 초래하는 상기 오버레이 수정 패턴에 따라, 상기 기판 상의 특정 위치들에서 상기 기판 상의 내부 응력들을 물리적으로 변경하도록 구성되는 기판 처리 컴포넌트들 및 기판 홀더를 갖는 프로세싱 모듈 ― 상기 변경된 보우를 갖는 기판은 제2 오버레이 에러를 갖고, 상기 제2 오버레이 에러는 상기 초기 오버레이 에러와 비교하여 오버레이 에러가 감소되었음 ― ; 및
    상기 계측 모듈로부터 상기 프로세싱 모듈로 상기 기판을 자동으로 이동시키는 자동화된 기판 핸들링 시스템 ― 상기 계측 모듈, 상기 프로세싱 모듈, 및 상기 자동화된 기판 핸들링 시스템은 공통 플랫폼 상에 있음 ―
    을 포함하고,
    상기 제어기는 상기 보우 측정치 외에 상기 작업 표면의 디바이스 파라미터들에 기반하여 상기 오버레이 수정 패턴을 발생시키도록 구성되는 것인, 웨이퍼 오버레이를 수정하기 위한 시스템.
  2. 제1항에 있어서,
    상기 프로세싱 모듈은 내부 응력들을 차등적으로(differentially) 변경하도록 구성되며, 그 변경된 구성에서의 상기 프로세싱 모듈은 상이한 위치들 중 적어도 일부가 서로 상이하게 변경되도록 상기 기판 상의 상이한 위치들을 독립적으로 변경하도록 구성되는 것인, 웨이퍼 오버레이를 수정하기 위한 시스템.
  3. 제1항에 있어서,
    상기 프로세싱 모듈은 상기 기판 상의 위치들에서 내부 응력들을 증가시키거나 완화시키도록 구성되는 것인, 웨이퍼 오버레이를 수정하기 위한 시스템.
  4. 제1항에 있어서,
    상기 프로세싱 모듈은 상기 기판의 작업 표면 상의 내부 응력들을 물리적으로 변경하도록 구성되는 것인, 웨이퍼 오버레이를 수정하기 위한 시스템.
  5. 제1항에 있어서,
    상기 프로세싱 모듈은 상기 기판의 후방 표면 상의 내부 응력들을 물리적으로 변경하도록 구성되는 것인, 웨이퍼 오버레이를 수정하기 위한 시스템.
  6. 제1항에 있어서,
    상기 프로세싱 모듈은 상기 기판의 후방 표면 상의 내부 응력들을 물리적으로 변경하면서 상기 작업 표면이 위를 향하게끔 상기 기판을 유지하도록 구성되는 것인, 웨이퍼 오버레이를 수정하기 위한 시스템.
  7. 제1항에 있어서,
    상기 프로세싱 모듈은 상기 기판의 후방 표면 상의 재료의 위치-특정 부가에 의해 상기 기판 상의 내부 응력들을 변경하도록 구성되고, 그 변경된 구성에서의 상기 기판 상의 제1 주어진 위치는 상기 제2 주어진 위치와 비교하여 더 많은 재료가 부가될 수 있는 것인, 웨이퍼 오버레이를 수정하기 위한 시스템.
  8. 웨이퍼 오버레이를 수정하기 위한 시스템에 있어서,
    기판의 보우(bow)를 측정하고, 하나 이상의 기준 z-높이 값에 관하여 상기 기판 상에 z-높이 편차들을 맵핑하는 보우 측정치(bow measurement)를 발생시키도록 구성되는 계측 모듈 ― 상기 기판은 작업 표면(working surface) 및 상기 작업 표면 반대편의 후방 표면을 갖고, 상기 기판은 상기 기판의 작업 표면 상에 반도체 디바이스의 적어도 일부를 생성하기 위해 실행된 하나 이상의 마이크로 제조 프로세싱 단계로부터 초래되는 초기 오버레이 에러를 가짐 ― ;
    상기 보우 측정치를 수신하고, 상기 보우 측정치에 기반하여 오버레이 수정 패턴을 발생시키도록 구성되는 제어기 ― 상기 오버레이 수정 패턴은 상기 보우 측정치에 기반하여 상기 기판 상의 특정 위치들에서 내부 응력들에 대한 조정들을 정의하고, 상기 기판 상의 제1 주어진 위치는 상기 오버레이 수정 패턴에서 상기 기판 상의 제2 주어진 위치와 비교하여 상이한 내부 응력 조정이 정의됨 ― ;
    상기 기판의 변경된 보우를 초래하는 상기 오버레이 수정 패턴에 따라, 상기 기판 상의 특정 위치들에서 상기 기판 상의 내부 응력들을 물리적으로 변경하도록 구성되는 기판 처리 컴포넌트들 및 기판 홀더를 갖는 프로세싱 모듈 ― 상기 변경된 보우를 갖는 기판은 제2 오버레이 에러를 갖고, 상기 제2 오버레이 에러는 상기 초기 오버레이 에러와 비교하여 오버레이 에러가 감소되었음 ― ; 및
    상기 계측 모듈로부터 상기 프로세싱 모듈로 상기 기판을 자동으로 이동시키는 자동화된 기판 핸들링 시스템 ― 상기 계측 모듈, 상기 프로세싱 모듈, 및 상기 자동화된 기판 핸들링 시스템은 공통 플랫폼 상에 있음 ―
    을 포함하고,
    상기 프로세싱 모듈은 상기 기판의 후방 표면 상의 재료의 위치-특정 제거에 의해 상기 기판 상의 내부 응력들을 변경하도록 구성되고, 그 변경된 구성에서의 상기 기판 상의 제1 주어진 위치는 상기 제2 주어진 위치와 비교하여 더 많은 재료가 제거될 수 있는 것인, 웨이퍼 오버레이를 수정하기 위한 시스템.
  9. 제8항에 있어서,
    상기 프로세싱 모듈은 상기 기판의 후방 표면에 하나 이상의 필름을 부가하고, 그 후 주어진 위치들에서 상기 하나 이상의 필름으로부터 재료를 선택적으로 제거하도록 구성되는 것인, 웨이퍼 오버레이를 수정하기 위한 시스템.
  10. 제1항에 있어서,
    상기 프로세싱 모듈은 상기 기판의 후방 표면으로의 입자들의 위치-특정 주입에 의해 상기 기판 상의 내부 응력들을 변경하도록 구성되고, 그 변경된 구성에서의 상기 기판 상의 제1 주어진 위치는 상기 제2 주어진 위치와 비교하여 더 많은 입자들이 주입될 수 있는 것인, 웨이퍼 오버레이를 수정하기 위한 시스템.
  11. 제1항에 있어서,
    상기 프로세싱 모듈은 경화 필름의 위치-특정 온도 변조에 의해 상기 기판 상의 내부 응력들을 변경하도록 구성되는 것인, 웨이퍼 오버레이를 수정하기 위한 시스템.
  12. 삭제
  13. 제1항에 있어서,
    상기 제어기는 평면간 편차(inter-plane deviation), 기준 평면으로부터의 z-높이 편차, 관심 위치에 대한 다차 도함수 분석(multi-order derivative analysis), 제르니케 다항식(Zernike polynomial)의 분석, 픽셀화된 기본 함수 최적화(pixelated base functions optimization), 및 구형 베셀 함수(spherical Bessel function)로 구성되는 그룹으로부터 선택된 계산 방법을 사용하여 상기 오버레이 수정 패턴을 발생시키도록 구성되는 것인, 웨이퍼 오버레이를 수정하기 위한 시스템.
  14. 웨이퍼 오버레이를 수정하기 위한 시스템에 있어서,
    기판의 보우를 측정하고, 하나 이상의 기준 z-높이 값에 관하여 상기 기판 상에 z-높이 편차들을 맵핑하는 보우 측정치를 생성하도록 구성되는 계측 모듈 ― 상기 기판은 작업 표면 및 상기 작업 표면 반대편의 후방 표면을 갖고, 상기 기판은 상기 기판의 작업 표면 상에 반도체 디바이스의 적어도 일부를 생성하기 위해 실행된 하나 이상의 마이크로 제조 프로세싱 단계로부터 초래되는 초기 오버레이 에러를 가짐 ― ;
    상기 보우 측정치를 수신하고, 상기 보우 측정치 및 상기 작업 표면의 디바이스 파라미터들에 기반하여 오버레이 수정 패턴을 발생시키도록 구성되는 제어기 ― 상기 오버레이 수정 패턴은 상기 보우 측정치에 기반하여 상기 기판 상의 특정 위치들에서 내부 응력들에 대한 조정들을 정의하고, 상기 기판 상의 제1 주어진 위치는 상기 오버레이 수정 패턴에서 상기 기판 상의 제2 주어진 위치와 비교하여 상이한 내부 응력 조정이 정의됨 ― ;
    상기 기판의 변경된 보우를 초래하는 상기 오버레이 수정 패턴에 따라, 상기 기판 상의 특정 위치들에서 상기 기판 상의 내부 응력들을 물리적으로 변경하도록 구성되는 기판 처리 컴포넌트들 및 기판 홀더를 갖는 프로세싱 모듈 ― 상기 변경된 보우를 갖는 기판은 제2 오버레이 에러를 갖고, 상기 제2 오버레이 에러는 상기 초기 오버레이 에러와 비교하여 오버레이 에러가 감소되었으며, 상기 프로세싱 모듈은 상기 기판의 후방 표면 상의 재료의 위치-특정 부가에 의해 상기 기판 상의 내부 응력들을 변경하도록 구성되고, 그 변경된 구성에서의 상기 기판 상의 제1 주어진 위치는 상기 제2 주어진 위치와 비교하여 더 많은 재료가 부가될 수 있음 ―
    을 포함하는, 웨이퍼 오버레이를 수정하기 위한 시스템.
  15. 제14항에 있어서,
    상기 프로세싱 모듈은 상기 기판의 후방 표면 상의 내부 응력들을 물리적으로 변경하면서 상기 작업 표면이 위를 향하게끔 상기 기판을 유지하도록 구성되는 것인, 웨이퍼 오버레이를 수정하기 위한 시스템.
  16. 웨이퍼 오버레이를 수정하기 위한 시스템에 있어서,
    기판의 보우를 측정하고, 하나 이상의 기준 z-높이 값에 관하여 상기 기판 상에 z-높이 편차들을 맵핑하는 보우 측정치를 발생시키도록 구성되는 계측 모듈 ― 상기 기판은 작업 표면 및 상기 작업 표면 반대편의 후방 표면을 갖고, 상기 기판은 상기 기판의 작업 표면 상에 반도체 디바이스의 적어도 일부를 생성하기 위해 실행된 하나 이상의 마이크로 제조 프로세싱 단계로부터 초래되는 초기 오버레이 에러를 가짐 ― ;
    상기 보우 측정치를 수신하고, 상기 보우 측정치에 기반하여 오버레이 수정 패턴을 발생시키도록 구성되는 제어기 ― 상기 오버레이 수정 패턴은 상기 보우 측정치에 기반하여 상기 기판 상의 특정 위치들에서 내부 응력들에 대한 조정들을 정의하고, 상기 기판 상의 제1 주어진 위치는 상기 오버레이 수정 패턴에서 상기 기판 상의 제2 주어진 위치와 비교하여 상이한 내부 응력 조정이 정의됨 ― ; 및
    상기 기판의 변경된 보우를 초래하는 상기 오버레이 수정 패턴에 따라, 상기 기판 상의 특정 위치들에서 상기 기판 상의 내부 응력들을 물리적으로 변경하도록 구성되는 기판 처리 컴포넌트들 및 기판 홀더를 갖는 프로세싱 모듈 ― 상기 변경된 보우를 갖는 기판은 제2 오버레이 에러를 갖고, 상기 제2 오버레이 에러는 상기 초기 오버레이 에러와 비교하여 오버레이 에러가 감소되었음 ―
    을 포함하며,
    상기 프로세싱 모듈은 상기 기판의 후방 표면 상의 재료의 위치-특정 제거에 의해 상기 기판 상의 내부 응력들을 변경하도록 구성되고, 그 변경된 구성에서의 상기 기판 상의 제1 주어진 위치는 상기 제2 주어진 위치와 비교하여 더 많은 재료가 제거될 수 있고,
    상기 프로세싱 모듈은 상기 기판의 후방 표면에 하나 이상의 필름을 부가하고, 그 후 주어진 위치들에서 상기 하나 이상의 필름으로부터 재료를 선택적으로 제거하도록 구성되는 것인, 웨이퍼 오버레이를 수정하기 위한 시스템.
  17. 웨이퍼 오버레이를 수정하기 위한 시스템에 있어서,
    기판의 보우를 측정하고, 하나 이상의 기준 z-높이 값에 관하여 상기 기판 상에 z-높이 편차들을 맵핑하는 보우 측정치를 생성하도록 구성되는 계측 모듈 ― 상기 기판은 작업 표면 및 상기 작업 표면 반대편의 후방 표면을 갖고, 상기 기판은 상기 기판의 작업 표면 상에 반도체 디바이스의 적어도 일부를 생성하기 위해 실행된 하나 이상의 마이크로 제조 프로세싱 단계로부터 초래되는 초기 오버레이 에러를 가짐 ― ;
    상기 보우 측정치를 수신하고, 상기 보우 측정치 및 상기 작업 표면의 디바이스 파라미터들에 기반하여 오버레이 수정 패턴을 발생시키도록 구성되는 제어기 ― 상기 오버레이 수정 패턴은 상기 보우 측정치에 기반하여 상기 기판 상의 특정 위치들에서 내부 응력들에 대한 조정들을 정의하고, 상기 기판 상의 제1 주어진 위치는 상기 오버레이 수정 패턴에서 상기 기판 상의 제2 주어진 위치와 비교하여 상이한 내부 응력 조정이 정의됨 ― ;
    상기 기판의 변경된 보우를 초래하는 상기 오버레이 수정 패턴에 따라, 상기 기판 상의 특정 위치들에서 상기 기판 상의 내부 응력들을 물리적으로 변경하도록 구성되는 기판 처리 컴포넌트들 및 기판 홀더를 갖는 프로세싱 모듈 ― 상기 변경된 보우를 갖는 기판은 제2 오버레이 에러를 갖고, 상기 제2 오버레이 에러는 상기 초기 오버레이 에러와 비교하여 오버레이 에러가 감소되었음 ―
    을 포함하며,
    상기 프로세싱 모듈은 상기 기판의 후방 표면으로의 입자들의 위치-특정 주입에 의해 상기 기판 상의 내부 응력들을 변경하도록 구성되고, 그 변경된 구성에서의 상기 기판 상의 제1 주어진 위치는 상기 제2 주어진 위치와 비교하여 더 많은 입자들이 주입될 수 있는 것인, 웨이퍼 오버레이를 수정하기 위한 시스템.
  18. 제17항에 있어서,
    상기 프로세싱 모듈은 상기 기판의 후방 표면 상의 내부 응력들을 물리적으로 변경하면서 상기 작업 표면이 위를 향하게끔 상기 기판을 유지하도록 구성되는 것인, 웨이퍼 오버레이를 수정하기 위한 시스템.
  19. 웨이퍼 오버레이를 수정하기 위한 시스템에 있어서,
    기판의 보우를 측정하고, 하나 이상의 기준 z-높이 값에 관하여 상기 기판 상에 z-높이 편차들을 맵핑하는 보우 측정치를 생성하도록 구성되는 계측 모듈 ― 상기 기판은 작업 표면 및 상기 작업 표면 반대편의 후방 표면을 갖고, 상기 기판은 상기 기판의 작업 표면 상에 반도체 디바이스의 적어도 일부를 생성하기 위해 실행된 하나 이상의 마이크로 제조 프로세싱 단계로부터 초래되는 초기 오버레이 에러를 가짐 ― ;
    상기 보우 측정치를 수신하고, 상기 보우 측정치에 기반하여 오버레이 수정 패턴을 발생시키도록 구성되는 제어기 ― 상기 오버레이 수정 패턴은 상기 보우 측정치에 기반하여 상기 기판 상의 특정 위치들에서 내부 응력들에 대한 조정들을 정의하고, 상기 기판 상의 제1 주어진 위치는 상기 오버레이 수정 패턴에서 상기 기판 상의 제2 주어진 위치와 비교하여 상이한 내부 응력 조정이 정의됨 ― ;
    상기 기판의 변경된 보우를 초래하는 상기 오버레이 수정 패턴에 따라, 상기 기판 상의 특정 위치들에서 상기 기판 상의 내부 응력들을 물리적으로 변경하도록 구성되는 기판 처리 컴포넌트들 및 기판 홀더를 갖는 프로세싱 모듈 ― 상기 변경된 보우를 갖는 기판은 제2 오버레이 에러를 갖고, 상기 제2 오버레이 에러는 상기 초기 오버레이 에러와 비교하여 오버레이 에러가 감소되었음 ―
    을 포함하며,
    상기 프로세싱 모듈은 경화 필름의 위치-특정 온도 변조에 의해 상기 기판 상의 내부 응력들을 변경하도록 구성되는 것인, 웨이퍼 오버레이를 수정하기 위한 시스템.
  20. 제19항에 있어서,
    상기 프로세싱 모듈은 상기 기판의 후방 표면 상의 내부 응력들을 물리적으로 변경하면서 상기 작업 표면이 위를 향하게끔 상기 기판을 유지하도록 구성되는 것인, 웨이퍼 오버레이를 수정하기 위한 시스템.
  21. 웨이퍼 오버레이를 수정하기 위한 방법에 있어서,
    작업 표면 및 상기 작업 표면 반대편의 후방 표면을 갖는 기판을 수용하는 단계 ― 상기 기판은 상기 기판의 작업 표면 상에 반도체 디바이스의 적어도 일부를 생성하기 위해 실행된 하나 이상의 마이크로 제조 프로세싱 단계로부터 초래되는 초기 오버레이 에러를 가짐 ― ;
    하나 이상의 기준 z-높이 값에 관하여 상기 기판 상에 z-높이 편차들을 맵핑하는 상기 기판의 초기 보우 측정치를 수신하는 단계;
    상기 기판의 초기 보우 측정치 및 상기 작업 표면의 디바이스 파라미터들에 기반하여 상기 기판 상의 특정 위치들에서 내부 응력들에 대한 조정들을 정의하는 오버레이 수정 패턴을 발생시키는 단계 ― 상기 기판 상의 제1 주어진 위치는 상기 오버레이 수정 패턴에서 상기 기판 상의 제2 주어진 위치와 비교하여 상이한 내부 응력 조정이 정의됨 ― ; 및
    상기 기판의 변경된 보우를 초래하는 상기 오버레이 수정 패턴에 따라, 상기 기판 상의 특정 위치들에서 상기 기판 상의 내부 응력들을 물리적으로 변경하는 단계 ― 상기 변경된 보우를 갖는 기판은 제2 오버레이 에러를 갖고, 상기 제2 오버레이 에러는 상기 초기 오버레이 에러와 비교하여 오버레이 에러가 감소되었음 ―
    를 포함하는, 웨이퍼 오버레이를 수정하기 위한 방법.
  22. 제21항에 있어서,
    상기 내부 응력들을 물리적으로 변경하는 단계는, 상기 기판 상의 상이한 위치들이 독립적으로 변경되도록 내부 응력들을 차등적으로 변경하는 단계를 포함하며, 상기 상이한 위치들의 적어도 일부는 서로 상이하게 변경되는 것인, 웨이퍼 오버레이를 수정하기 위한 방법.
  23. 제21항에 있어서,
    상기 기판의 초기 보우 측정치는 비-평면 기판을 특징화하고, 상기 기판의 변경된 보우는 상기 비-평면 기판을 특징화하는 것인, 웨이퍼 오버레이를 수정하기 위한 방법.
  24. 제21항에 있어서,
    상기 기판 상의 내부 응력들을 물리적으로 변경하는 단계는, 상기 기판의 작업 표면 상의 내부 응력들을 증가시키거나 완화시키는 단계를 포함하는 것인, 웨이퍼 오버레이를 수정하기 위한 방법.
  25. 제21항에 있어서,
    상기 기판 상의 내부 응력들을 물리적으로 변경하는 단계는, 상기 기판의 후방 표면 상의 내부 응력들을 증가시키거나 완화시키는 단계를 포함하는 것인, 웨이퍼 오버레이를 수정하기 위한 방법.
  26. 제21항에 있어서,
    상기 기판의 작업 표면 상의 집적 회로의 제조 동안, 상기 기판 상의 내부 응력들을 물리적으로 변경하는 단계를 복수회 반복하는 단계를 더 포함하는, 웨이퍼 오버레이를 수정하기 위한 방법.
  27. 제21항에 있어서,
    상기 기판 상의 특정 위치들에서 상기 기판 상의 내부 응력들을 물리적으로 변경하는 단계는, 위치-특정 입자 주입, 위치-특정 에칭, 위치-특정 교차 결합, 위치-특정 온도 차등 경화, 및 위치-특정 차등 성막으로 구성되는 그룹으로부터 선택된 처리 프로세스를 사용하는 단계를 포함하는 것인, 웨이퍼 오버레이를 수정하기 위한 방법.
  28. 제27항에 있어서,
    상기 선택된 처리 프로세스는 상기 작업 표면이 위를 향하고 있는 동안 상기 기판의 후방 표면을 처리하는 것인, 웨이퍼 오버레이를 수정하기 위한 방법.
  29. 삭제
  30. 제21항에 있어서,
    상기 오버레이 수정 패턴은, 평면간 편차, 기준 평면으로부터의 z-높이 편차, 관심 위치에 대한 다차 도함수 분석, 제르니케 다항식의 분석, 픽셀화된 기본 함수 최적화, 및 구형 베셀 함수로 구성되는 그룹으로부터 선택된 계산 방법을 사용하여 발생되는 것인, 웨이퍼 오버레이를 수정하기 위한 방법.
  31. 웨이퍼 오버레이를 수정하는 방법에 있어서,
    작업 표면 및 상기 작업 표면 반대편의 후방 표면을 갖는 기판을 수용하는 단계 ― 상기 기판은 상기 기판의 작업 표면 상에 반도체 디바이스의 적어도 일부를 생성하기 위해 실행된 하나 이상의 마이크로 제조 프로세싱 단계들로부터 초래되는 초기 오버레이 에러를 가짐 ― ;
    하나 이상의 기준 z-높이 값에 관하여 상기 기판 상에 z-높이 편차들을 맵핑하는 상기 기판의 초기 보우 측정치를 수신하는 단계;
    상기 기판의 상기 초기 보우 측정치 및 상기 작업 표면의 디바이스 파라미터들에 기반하여, 상기 기판 상의 특정 위치들에서 내부 응력들에 대한 조정들을 정의하는 오버레이 수정 패턴을 발생시키는 단계 ― 상기 기판 상의 제1 주어진 위치는 상기 오버레이 수정 패턴에서 상기 기판 상의 제2 주어진 위치와 비교하여 상이한 내부 응력 조정이 정의됨 ― ; 및
    상기 기판의 변경된 보우를 초래하는 상기 오버레이 수정 패턴에 따라, 상기 기판 상의 특정 위치들에서 상기 기판 상의 내부 응력들을 물리적으로 변경하는 단계 ― 상기 변경된 보우를 갖는 기판은 제2 오버레이 에러를 갖고, 상기 제2 오버레이 에러는 상기 초기 오버레이 에러와 비교하여 오버레이 에러가 감소되었음 ―
    를 포함하며,
    상기 초기 보우 측정치를 수신하는 단계는 상기 초기 보우 측정치를 발생시키기 위해 상기 기판을 측정하는 단계를 포함하고, 상기 기판을 측정하는 단계 및 상기 내부 응력들을 물리적으로 변경하는 단계 모두는, 보우를 측정하도록 구성되는 기판 계측 모듈로부터 상기 기판 내의 내부 응력들을 변경하는 기판 프로세싱 모듈로 상기 기판을 자동으로 이동시키는 자동화된 기판 핸들링 시스템을 갖는 공통 플랫폼 상에서 실행되는 것인, 웨이퍼 오버레이를 수정하는 방법.
  32. 웨이퍼 오버레이를 수정하기 위한 방법에 있어서,
    작업 표면 및 상기 작업 표면 반대편의 후방 표면을 갖는 기판을 수용하는 단계 ― 상기 기판은 상기 기판의 작업 표면 상에 반도체 디바이스의 적어도 일부를 생성하기 위해 실행된 하나 이상의 마이크로 제조 프로세싱 단계들로부터 초래되는 초기 오버레이 에러를 가짐 ― ;
    하나 이상의 기준 z-높이 값에 관하여 상기 기판 상에 z-높이 편차들을 맵핑하는 상기 기판의 초기 보우 측정치를 수신하는 단계;
    상기 초기 보우 측정치 및 상기 작업 표면의 디바이스 파라미터들에 기반하여, 상기 기판의 오버레이 에러를 감소시키기 위해 계산된 상기 기판 상의 복수의 위치들의 상기 기판 상의 내부 응력들에 대한 위치-특정 조정들을 정의하는 오버레이 수정 패턴을 발생시키는 단계; 및
    적어도 2개 위치들이 상이하게 변경되고 상기 기판의 변경된 보우를 초래하도록, 상기 오버레이 수정 패턴에 따라 상기 기판 상의 특정 위치들에서 상기 기판 상의 내부 응력들을 물리적으로 변경하는 단계 ― 상기 변경된 보우를 갖는 기판은 제2 오버레이 에러를 갖고, 상기 제2 오버레이 에러는 상기 초기 오버레이 에러와 비교하여 오버레이 에러가 감소되었음 ―
    를 포함하는, 웨이퍼 오버레이를 수정하기 위한 방법.
  33. 제32항에 있어서,
    상기 기판의 초기 보우 측정치는 비-평면 기판을 특징화하고, 상기 기판의 변경된 보우는 상기 비-평면 기판을 특징화하는 것인, 웨이퍼 오버레이를 수정하기 위한 방법.
  34. 제32항에 있어서,
    상기 기판의 초기 보우 측정치는 비-평면 기판을 특징화하고, 상기 기판의 변경된 보우는 평면 기판을 특징화하는 것인, 웨이퍼 오버레이를 수정하기 위한 방법.
  35. 제32항에 있어서,
    상기 기판 상의 내부 응력들을 물리적으로 변경하는 단계는, 상기 기판의 작업 표면 또는 상기 기판의 후방 표면 상의 내부 응력들을 증가시키거나 완화시키는 단계를 포함하는 것인, 웨이퍼 오버레이를 수정하기 위한 방법.
  36. 제32항에 있어서,
    상기 기판 상의 특정 위치들에서 상기 기판 상의 내부 응력들을 물리적으로 변경하는 단계는, 위치-특정 입자 주입, 위치-특정 에칭, 위치-특정 교차 결합, 위치-특정 온도 차등 경화, 및 위치-특정 차등 성막으로 구성되는 그룹으로부터 선택된 처리 프로세스를 사용하는 단계를 포함하는 것인, 웨이퍼 오버레이를 수정하기 위한 방법.
  37. 삭제
  38. 제32항에 있어서,
    상기 오버레이 수정 패턴은, 평면간 편차, 기준 평면으로부터의 z-높이 편차, 관심 위치에 대한 다차 도함수 분석, 제르니케 다항식의 분석, 픽셀화된 기본 함수 최적화, 및 구형 베셀 함수로 구성되는 그룹으로부터 선택된 계산 방법을 사용하여 발생되는 것인, 웨이퍼 오버레이를 수정하기 위한 방법.
  39. 웨이퍼 오버레이를 수정하는 방법에 있어서,
    작업 표면 및 상기 작업 표면 반대편의 후방 표면을 갖는 기판을 수용하는 단계 ― 상기 기판은 상기 기판의 작업 표면 상에 반도체 디바이스의 적어도 일부를 생성하기 위해 실행된 하나 이상의 마이크로 제조 프로세싱 단계들로부터 초래되는 초기 오버레이 에러를 가짐 ― ;
    하나 이상의 기준 z-높이 값에 관하여 상기 기판 상에 z-높이 편차들을 맵핑하는 상기 기판의 초기 보우 측정치를 수신하는 단계;
    상기 초기 보우 측정치 및 상기 작업 표면의 디바이스 파라미터들에 기반하여, 상기 기판의 오버레이 에러를 감소시키기 위해 계산된 상기 기판 상의 복수의 위치들의 상기 기판 상의 내부 응력들에 대한 위치-특정 조정들을 정의하는 오버레이 수정 패턴을 발생시키는 단계; 및
    적어도 2개 위치들이 상이하게 변경되고 상기 기판의 변경된 보우를 초래하도록, 상기 오버레이 수정 패턴에 따라 상기 기판 상의 특정 위치들에서 상기 기판 상의 내부 응력들을 물리적으로 변경하는 단계 ― 상기 변경된 보우를 갖는 기판은 제2 오버레이 에러를 갖고, 상기 제2 오버레이 에러는 상기 초기 오버레이 에러와 비교하여 오버레이 에러가 감소되었음 ―
    를 포함하며,
    상기 초기 보우 측정치를 수신하는 단계는 상기 초기 보우 측정치를 발생시키기 위해 상기 기판을 측정하는 단계를 포함하고, 상기 기판을 측정하는 단계 및 상기 내부 응력들을 물리적으로 변경하는 단계 모두는, 보우를 측정하도록 구성되는 기판 계측 모듈로부터 상기 기판 내의 내부 응력들을 변경하는 기판 프로세싱 모듈로 상기 기판을 자동으로 이동시키는 자동화된 기판 핸들링 시스템을 갖는 공통 플랫폼 상에서 실행되는 것인, 웨이퍼 오버레이를 수정하는 방법.
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
KR1020170113329A 2016-09-05 2017-09-05 반도체 프로세싱에서 오버레이를 제어하기 위해 보우를 제어하기 위한 응력의 위치-특정 튜닝 KR102467979B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662383549P 2016-09-05 2016-09-05
US62/383,549 2016-09-05

Publications (2)

Publication Number Publication Date
KR20180027382A KR20180027382A (ko) 2018-03-14
KR102467979B1 true KR102467979B1 (ko) 2022-11-16

Family

ID=61280932

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170113329A KR102467979B1 (ko) 2016-09-05 2017-09-05 반도체 프로세싱에서 오버레이를 제어하기 위해 보우를 제어하기 위한 응력의 위치-특정 튜닝

Country Status (5)

Country Link
US (6) US10431468B2 (ko)
JP (2) JP7164289B2 (ko)
KR (1) KR102467979B1 (ko)
CN (1) CN107799451B (ko)
TW (3) TWI776817B (ko)

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7164289B2 (ja) 2016-09-05 2022-11-01 東京エレクトロン株式会社 半導体プロセッシング中のオーバレイを制御するための湾曲を制御する応力の位置特定チューニング
US10770327B2 (en) * 2017-07-28 2020-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for correcting non-ideal wafer topography
EP3457213A1 (en) * 2017-09-18 2019-03-20 ASML Netherlands B.V. Methods and apparatus for use in a device manufacturing method
US10847419B2 (en) * 2018-03-14 2020-11-24 Raytheon Company Stress compensation and relief in bonded wafers
EP3540767A1 (en) * 2018-03-16 2019-09-18 ASML Netherlands B.V. Inspection system, lithographic apparatus, and inspection method
SG11202009105YA (en) * 2018-03-20 2020-10-29 Tokyo Electron Ltd Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
US11624981B2 (en) 2018-04-10 2023-04-11 Lam Research Corporation Resist and etch modeling
US11164768B2 (en) 2018-04-27 2021-11-02 Kla Corporation Process-induced displacement characterization during semiconductor production
KR102558635B1 (ko) * 2018-08-03 2023-07-21 도쿄엘렉트론가부시키가이샤 반도체 웨이퍼의 국부적인 왜곡의 결정에 기초한 전역적인 웨이퍼 왜곡의 개선
US11300889B2 (en) * 2018-08-22 2022-04-12 Asml Netherlands B.V. Metrology apparatus
US10790232B2 (en) * 2018-09-15 2020-09-29 International Business Machines Corporation Controlling warp in semiconductor laminated substrates with conductive material layout and orientation
KR102464720B1 (ko) * 2018-09-28 2022-11-07 램 리써치 코포레이션 비대칭 웨이퍼 보우 보상
US10896821B2 (en) * 2018-09-28 2021-01-19 Lam Research Corporation Asymmetric wafer bow compensation by physical vapor deposition
US10903070B2 (en) * 2018-09-28 2021-01-26 Lam Research Corporation Asymmetric wafer bow compensation by chemical vapor deposition
JP7129888B2 (ja) * 2018-11-07 2022-09-02 東京エレクトロン株式会社 成膜方法及び半導体製造装置
US11114406B2 (en) 2019-01-31 2021-09-07 Sandisk Technologies Llc Warpage-compensated bonded structure including a support chip and a three-dimensional memory chip
US10847408B2 (en) * 2019-01-31 2020-11-24 Sandisk Technologies Llc Warpage-compensated bonded structure including a support chip and a three-dimensional memory chip
US11036147B2 (en) * 2019-03-20 2021-06-15 Kla Corporation System and method for converting backside surface roughness to frontside overlay
JP2020174076A (ja) * 2019-04-08 2020-10-22 東京エレクトロン株式会社 成膜装置、成膜方法、および成膜システム
US11393118B2 (en) * 2019-06-18 2022-07-19 Kla Corporation Metrics for asymmetric wafer shape characterization
CN110246788B (zh) * 2019-06-28 2020-05-19 英特尔半导体(大连)有限公司 用于在晶圆沉积薄膜的设备
US11879170B2 (en) 2019-08-14 2024-01-23 Massachusetts Institute Of Technology Stress patterning systems and methods for manufacturing free-form deformations in thin substrates
CN110517968B (zh) * 2019-08-19 2022-12-20 西安奕斯伟材料科技有限公司 一种翘曲度的控制方法及装置
CN110620057B (zh) * 2019-09-12 2021-12-07 中国科学院微电子研究所 一种三维器件的套刻误差补偿方法及系统
JP7336369B2 (ja) * 2019-11-25 2023-08-31 株式会社Screenホールディングス 基板支持装置、熱処理装置、基板支持方法、熱処理方法
US20230038611A1 (en) * 2020-01-30 2023-02-09 Lam Research Corporation Uv cure for local stress modulation
WO2021178310A1 (en) * 2020-03-05 2021-09-10 Lam Research Corporation Control of wafer bow during integrated circuit processing
DE102020106768B4 (de) 2020-03-12 2023-06-15 Institut Für Nanophotonik Göttingen E.V. Verfahren zur umformenden Bearbeitung eines Trägersubstrates für ein optisches Funktionsbauteil
JP2021149000A (ja) * 2020-03-19 2021-09-27 キオクシア株式会社 露光方法、露光装置、及び半導体装置の製造方法
US11569134B2 (en) * 2020-04-14 2023-01-31 International Business Machines Corporation Wafer backside engineering for wafer stress control
JP7384106B2 (ja) * 2020-04-17 2023-11-21 三菱電機株式会社 半導体装置の製造方法
KR20210131798A (ko) * 2020-04-24 2021-11-03 삼성전자주식회사 Euv 노광 장치, 및 그 노광 장치를 이용한 오버레이 보정 방법과 반도체 소자 제조방법
US20210366792A1 (en) * 2020-05-22 2021-11-25 Tokyo Electron Limited Backside deposition tuning of stress to control wafer bow in semiconductor processing
US11473199B2 (en) 2020-06-10 2022-10-18 Sandisk Technologies Llc Method and apparatus for depositing a multi-sector film on backside of a semiconductor wafer
US11702750B2 (en) * 2020-06-10 2023-07-18 Sandisk Technologies Llc Method and apparatus for depositing a multi-sector film on backside of a semiconductor wafer
US11637043B2 (en) 2020-11-03 2023-04-25 Applied Materials, Inc. Analyzing in-plane distortion
US11830778B2 (en) 2020-11-12 2023-11-28 International Business Machines Corporation Back-side wafer modification
US11829077B2 (en) 2020-12-11 2023-11-28 Kla Corporation System and method for determining post bonding overlay
US11721551B2 (en) * 2021-01-26 2023-08-08 Tokyo Electron Limited Localized stress regions for three-dimension chiplet formation
CN113068326B (zh) * 2021-03-29 2022-09-30 北京小米移动软件有限公司 一种焊接质量处理方法及装置、电路板
US20220344171A1 (en) * 2021-04-26 2022-10-27 Applied Materials, Inc. Localized stress modulation by implant to back of wafer
US20220415683A1 (en) * 2021-06-27 2022-12-29 Tignis, Inc. Method for determining corrective film pattern to reduce semiconductor wafer bow
US20230008350A1 (en) * 2021-07-08 2023-01-12 Tokyo Electron Limited Method of adjusting wafer shape using multi-directional actuation films
US20230025264A1 (en) * 2021-07-20 2023-01-26 Changxin Memory Technologies, Inc. Method for adjusting wafer deformation and semiconductor structure
US11782411B2 (en) 2021-07-28 2023-10-10 Kla Corporation System and method for mitigating overlay distortion patterns caused by a wafer bonding tool
US12001147B2 (en) 2021-11-19 2024-06-04 Tokyo Electron Limited Precision multi-axis photolithography alignment correction using stressor film
WO2023104391A1 (en) * 2021-12-06 2023-06-15 Asml Netherlands B.V. Methods of determining a mechanical property of a layer applied to a substrate, and associated devices
EP4202551A1 (en) * 2021-12-23 2023-06-28 ASML Netherlands B.V. Methods of determining a mechanical property of a layer applied to a substrate, and associated devices
US11994807B2 (en) 2022-05-03 2024-05-28 Tokyo Electron Limited In-situ lithography pattern enhancement with localized stress treatment tuning using heat zones
US20230369014A1 (en) * 2022-05-13 2023-11-16 Applied Materials, Inc. Dose mapping and substrate rotation for substrate curvature control with improved resolution
US20230367941A1 (en) * 2022-05-13 2023-11-16 Applied Materials, Inc. Dose mapping using substrate curvature to compensate for out-of-plane distortion
US20240103385A1 (en) * 2022-09-28 2024-03-28 Applied Materials, Inc. Frequency and Amplitude Modulation of Implant Dose for Stress Management
CN116228773B (zh) * 2023-05-09 2023-08-04 华芯程(杭州)科技有限公司 一种晶圆检测机台的量测数据校准方法、装置及设备
CN117410199B (zh) * 2023-12-15 2024-03-08 合肥晶合集成电路股份有限公司 一种套刻误差的测量方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004513509A (ja) * 2000-09-20 2004-04-30 ケーエルエー・テンコール・テクノロジーズ・コーポレーション 半導体製造プロセスのための方法とシステム
WO2015021297A1 (en) 2013-08-09 2015-02-12 Tokyo Electron Limited Substrate backside texturing
US20150294917A1 (en) * 2014-04-09 2015-10-15 Tokyo Electron Limited Method for Correcting Wafer Bow from Overlay

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5851929A (en) * 1996-01-04 1998-12-22 Micron Technology, Inc. Controlling semiconductor structural warpage in rapid thermal processing by selective and dynamic control of a heating source
US5972570A (en) * 1997-07-17 1999-10-26 International Business Machines Corporation Method of photolithographically defining three regions with one mask step and self aligned isolation structure formed thereby
JPH1197506A (ja) * 1997-09-22 1999-04-09 Dainippon Screen Mfg Co Ltd 基板処理装置
EP1089328A1 (en) * 1999-09-29 2001-04-04 Infineon Technologies AG Method for manufacturing of a semiconductor device
JP2001344710A (ja) * 2000-06-05 2001-12-14 Tdk Corp ウエハの平面度制御方法及び薄膜磁気ヘッドの製造方法
WO2002008835A2 (en) 2000-07-16 2002-01-31 Board Of Regents, The University Of Texas System High-resolution overlay alignment methods and systems for imprint lithography
US7169685B2 (en) * 2002-02-25 2007-01-30 Micron Technology, Inc. Wafer back side coating to balance stress from passivation layer on front of wafer and be used as die attach adhesive
US7015418B2 (en) * 2002-05-17 2006-03-21 Gsi Group Corporation Method and system for calibrating a laser processing system and laser marking system utilizing same
KR100487562B1 (ko) * 2003-03-24 2005-05-03 삼성전자주식회사 웨이퍼 휘어짐을 억제할 수 있는 반도체 제조방법
EP1475667A1 (en) * 2003-05-09 2004-11-10 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2004356386A (ja) * 2003-05-29 2004-12-16 Trecenti Technologies Inc 半導体装置およびその製造方法
JP4232605B2 (ja) * 2003-10-30 2009-03-04 住友電気工業株式会社 窒化物半導体基板の製造方法と窒化物半導体基板
US7164200B2 (en) * 2004-02-27 2007-01-16 Agere Systems Inc. Techniques for reducing bowing in power transistor devices
US7184853B2 (en) * 2005-05-18 2007-02-27 Infineon Technologies Richmond, Lp Lithography method and system with correction of overlay offset errors caused by wafer processing
US7853920B2 (en) * 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7645546B2 (en) * 2006-02-06 2010-01-12 Macronix International Co., Ltd. Method for determining an overlay correlation set
US7719089B2 (en) * 2006-05-05 2010-05-18 Sony Corporation MOSFET having a channel region with enhanced flexure-induced stress
US7936445B2 (en) * 2006-06-19 2011-05-03 Asml Netherlands B.V. Altering pattern data based on measured optical element characteristics
KR100849366B1 (ko) * 2006-08-24 2008-07-31 세메스 주식회사 기판을 처리하는 장치 및 방법
KR101108709B1 (ko) * 2007-07-12 2012-01-30 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US8900715B2 (en) * 2008-06-11 2014-12-02 Infineon Technologies Ag Semiconductor device
KR20110024997A (ko) * 2009-09-03 2011-03-09 주식회사 하이닉스반도체 웨이퍼 휨 방지를 위한 반도체장치 제조 방법
JP2011119472A (ja) * 2009-12-03 2011-06-16 Panasonic Corp 半導体製造装置
US8768665B2 (en) * 2010-01-08 2014-07-01 Kla-Tencor Technologies Corporation Site based quantification of substrate topography and its relation to lithography defocus and overlay
JP2011201759A (ja) * 2010-03-05 2011-10-13 Namiki Precision Jewel Co Ltd 多層膜付き単結晶基板、多層膜付き単結晶基板の製造方法および素子製造方法
US8183104B2 (en) 2010-07-07 2012-05-22 Hobbs Christopher C Method for dual-channel nanowire FET device
US20120074523A1 (en) * 2010-09-23 2012-03-29 Michael Goldstein Controlling microelectronic substrate bowing
EP2463892B1 (de) * 2010-12-13 2013-04-03 EV Group E. Thallner GmbH Einrichtung, Vorrichtung und Verfahren zur Ermittlung von Ausrichtungsfehlern
JP2012151670A (ja) * 2011-01-19 2012-08-09 Renesas Electronics Corp 画像投影システム及び半導体集積回路
FR2972848A1 (fr) * 2011-03-18 2012-09-21 Soitec Silicon On Insulator Appareil et procédé de collage par adhésion moléculaire avec minimisation de déformations locales
JP5703896B2 (ja) * 2011-03-29 2015-04-22 凸版印刷株式会社 パターン形成方法およびパターン形成体
JP5642628B2 (ja) * 2011-05-27 2014-12-17 東京エレクトロン株式会社 基板反り除去装置、基板反り除去方法及び記憶媒体
US9354526B2 (en) * 2011-10-11 2016-05-31 Kla-Tencor Corporation Overlay and semiconductor process control using a wafer geometry metric
DE112011105970B4 (de) 2011-12-19 2020-12-03 Intel Corporation CMOS-Implementierung aus Germanium und lll-V-Nanodrähten und -Nanobändern in Gate-Rundum-Architektur
US9012284B2 (en) 2011-12-23 2015-04-21 Intel Corporation Nanowire transistor devices and forming techniques
JP6149728B2 (ja) * 2012-03-30 2017-06-21 新東工業株式会社 半導体素子用基板の反り矯正装置及び反り矯正方法
JP5925579B2 (ja) * 2012-04-25 2016-05-25 ルネサスエレクトロニクス株式会社 半導体装置、電子装置、及び画像処理方法
US20140045411A1 (en) * 2012-08-10 2014-02-13 Yevsey SENDERZON Methods of and apparatus for producing wafers
US9430593B2 (en) 2012-10-11 2016-08-30 Kla-Tencor Corporation System and method to emulate finite element model based prediction of in-plane distortions due to semiconductor wafer chucking
US9158209B2 (en) * 2012-10-19 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of overlay prediction
WO2014105044A1 (en) * 2012-12-28 2014-07-03 Memc Electronic Materials, Inc. Methods for post - epitaxial warp prediction and control
US9059241B2 (en) * 2013-01-29 2015-06-16 International Business Machines Corporation 3D assembly for interposer bow
US20150192404A1 (en) * 2013-03-31 2015-07-09 Kla-Tencor Corporation Reducing registration error of front and back wafer surfaces utilizing a see-through calibration wafer
JP5924778B2 (ja) * 2013-06-24 2016-05-25 株式会社リガク 単結晶基板の反り測定方法及び測定装置
US20150044783A1 (en) * 2013-08-12 2015-02-12 Micron Technology, Inc. Methods of alleviating adverse stress effects on a wafer, and methods of forming a semiconductor device
JP5934156B2 (ja) * 2013-08-20 2016-06-15 Towa株式会社 基板の搬送供給方法及び基板の搬送供給装置
US9397051B2 (en) * 2013-12-03 2016-07-19 Invensas Corporation Warpage reduction in structures with electrical circuitry
US9595525B2 (en) 2014-02-10 2017-03-14 International Business Machines Corporation Semiconductor device including nanowire transistors with hybrid channels
US9269607B2 (en) 2014-06-17 2016-02-23 Globalfoundries Inc. Wafer stress control with backside patterning
CN105448762A (zh) * 2014-08-28 2016-03-30 中国科学院微电子研究所 一种衬底翘曲度的调整方法
US9779202B2 (en) * 2015-06-22 2017-10-03 Kla-Tencor Corporation Process-induced asymmetry detection, quantification, and control using patterned wafer geometry measurements
KR102372055B1 (ko) * 2015-06-26 2022-03-08 인텔 코포레이션 가공 실리콘 기판들 상의 gan 디바이스들
JP6540430B2 (ja) * 2015-09-28 2019-07-10 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10784100B2 (en) 2016-07-21 2020-09-22 Tokyo Electron Limited Back-side friction reduction of a substrate
JP7164289B2 (ja) * 2016-09-05 2022-11-01 東京エレクトロン株式会社 半導体プロセッシング中のオーバレイを制御するための湾曲を制御する応力の位置特定チューニング

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004513509A (ja) * 2000-09-20 2004-04-30 ケーエルエー・テンコール・テクノロジーズ・コーポレーション 半導体製造プロセスのための方法とシステム
WO2015021297A1 (en) 2013-08-09 2015-02-12 Tokyo Electron Limited Substrate backside texturing
US20150294917A1 (en) * 2014-04-09 2015-10-15 Tokyo Electron Limited Method for Correcting Wafer Bow from Overlay

Also Published As

Publication number Publication date
JP7216785B2 (ja) 2023-02-01
TW202025235A (zh) 2020-07-01
US10475657B2 (en) 2019-11-12
KR20180027382A (ko) 2018-03-14
TWI776817B (zh) 2022-09-11
US20180068860A1 (en) 2018-03-08
US10622233B2 (en) 2020-04-14
US20180342410A1 (en) 2018-11-29
JP2018041080A (ja) 2018-03-15
US20180068859A1 (en) 2018-03-08
CN107799451A (zh) 2018-03-13
US10157747B2 (en) 2018-12-18
JP2022000917A (ja) 2022-01-04
US20200058509A1 (en) 2020-02-20
TW202234176A (zh) 2022-09-01
US20180067403A1 (en) 2018-03-08
US10811265B2 (en) 2020-10-20
US20180068861A1 (en) 2018-03-08
JP7164289B2 (ja) 2022-11-01
TWI790391B (zh) 2023-01-21
CN107799451B (zh) 2023-05-02
US10453692B2 (en) 2019-10-22
TW201826036A (zh) 2018-07-16
US10431468B2 (en) 2019-10-01

Similar Documents

Publication Publication Date Title
KR102467979B1 (ko) 반도체 프로세싱에서 오버레이를 제어하기 위해 보우를 제어하기 위한 응력의 위치-특정 튜닝
JP2018041080A5 (ko)
JP5634864B2 (ja) リソグラフィック・プロセスに於ける、プロセス制御方法およびプロセス制御装置
JP2017504077A (ja) パターン化膜の臨界寸法をシフトするシステムおよび方法
US20210366792A1 (en) Backside deposition tuning of stress to control wafer bow in semiconductor processing
CN111077739A (zh) 极紫外光曝光装置和方法及制造半导体器件的方法
US12001147B2 (en) Precision multi-axis photolithography alignment correction using stressor film
TW201918798A (zh) 微影方法
CN117321735A (zh) 使用直写式应力膜校正晶片弯曲的方法
JP2019102495A (ja) 情報処理装置、プログラム、リソグラフィ装置、リソグラフィシステム、および物品の製造方法
WO2018072961A1 (en) A processing apparatus and a method for correcting a parameter variation across a substrate
US11994807B2 (en) In-situ lithography pattern enhancement with localized stress treatment tuning using heat zones
US20240203797A1 (en) Three-dimensional multiple location compressing bonded arm-poisedon 4 and poisedon 5 advanced integration
US20240176230A1 (en) Method of correcting euv overlay and method of manufacturing semiconductor device including the same
US20230251574A1 (en) Method to enhance lithography pattern creation using semiconductor stress film tuning
TW202347466A (zh) 使用熱區進行局部應力處理調諧的原位微影圖案增強
TW202409735A (zh) 經由晶圓形狀控制的卡盤補償方法
CN115605810A (zh) 用于在表面上形成结构的系统和方法
KR20070091422A (ko) 보정 툴을 구비한 베이크 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant