CN107154345B - 光掩模布图以及形成精细图案的方法 - Google Patents

光掩模布图以及形成精细图案的方法 Download PDF

Info

Publication number
CN107154345B
CN107154345B CN201710123674.4A CN201710123674A CN107154345B CN 107154345 B CN107154345 B CN 107154345B CN 201710123674 A CN201710123674 A CN 201710123674A CN 107154345 B CN107154345 B CN 107154345B
Authority
CN
China
Prior art keywords
pattern
region
sacrificial
forming
spacers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710123674.4A
Other languages
English (en)
Other versions
CN107154345A (zh
Inventor
林静范
全钟律
金恩娥
李钟旼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN107154345A publication Critical patent/CN107154345A/zh
Application granted granted Critical
Publication of CN107154345B publication Critical patent/CN107154345B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本公开提供光掩模布图以及形成精细图案的方法。一种形成精细图案的方法可以被提供,该方法包括:在目标层上形成多个第一牺牲图案,该目标层在基板上;在该多个第一牺牲图案的各自的侧壁上形成第一间隔物;去除该多个第一牺牲图案;形成多个第二牺牲图案,第二牺牲图案与第一间隔物交叉,每个第二牺牲图案包括线部分和突出部部分,突出部部分具有比线部分宽的宽度;在该多个第二牺牲图案的各自的侧壁上形成第二间隔物;去除第二牺牲图案;以及通过孔区域蚀刻目标层以暴露基板,孔区域由第一间隔物和第二间隔物限定。

Description

光掩模布图以及形成精细图案的方法
技术领域
示例实施方式涉及光掩模布图(photomask layout)、形成精细图案(finepattern)的方法和/或制造半导体器件的方法。更具体地,示例实施方式涉及用于形成精细孔的光掩模布图、使用该光掩模布图形成精细图案的方法和/或使用该光掩模布图制造半导体器件的方法。
背景技术
光刻工艺可以用于限定诸如布线、插塞和/或接触的元件,用于实现半导体器件的电路等。在光刻工艺中,可以制造其中电路图案被预先地设计的光掩模布图。光致抗蚀剂膜可以使用该光掩模布图而图案化以形成光致抗蚀剂图案。导电层可以使用该光致抗蚀剂图案作为蚀刻掩模来图案化以形成电路图案。
随着半导体器件变得高度地集成,目标图案的临界尺寸会减小。因此,会需要改善的曝光设备或精细蚀刻工艺诸如双图案化工艺。双图案化工艺指的是通过提供牺牲结构、在牺牲结构的侧壁上形成间隔物以及去除间隔物之间的牺牲结构来限定图案的图案化。
发明内容
一些示例实施方式提供能够形成具有更高的分辨率的图案的光掩模布图。
一些示例实施方式提供形成具有更高的分辨率和更高的可靠性的精细图案的方法。
一些示例实施方式提供制造具有更高的分辨率和更高的可靠性的半导体器件的方法。
根据示例实施方式,一种形成精细图案的方法包括:在目标层上形成多个第一牺牲图案,该目标层在基板上;在该多个第一牺牲图案的各自的侧壁上形成第一间隔物;去除该多个第一牺牲图案;形成多个第二牺牲图案,第二牺牲图案与第一间隔物交叉,每个第二牺牲图案包括线部分(line portion)和突出部部分(tab portion),突出部部分具有比线部分宽的宽度;在该多个第二牺牲图案的各自的侧壁上形成第二间隔物;去除该多个第二牺牲图案;以及通过孔区域蚀刻目标层以暴露基板,该孔区域由第一间隔物和第二间隔物限定。
根据示例实施方式,一种形成精细图案的方法包括:在基板上形成目标层,基板具有单元区和虚设区;通过第一双图案化工艺在目标层上形成第一间隔物,第一间隔物在第一方向上延伸;通过第二双图案化工艺形成在第二方向上延伸的第二间隔物,第一方向关于第二方向倾斜,第二间隔物与第一间隔物交叉,并且第二间隔物之间的间隙在虚设区中在垂直于第二方向的第三方向上交替地增大和减小;以及通过由第一间隔物和第二间隔物限定的空间来蚀刻目标层以形成接触孔。
根据示例实施方式,一种形成精细图案的方法包括:在基板上形成隔离层以限定有源图案;在有源图案和隔离层上形成栅结构;在有源图案上形成源/漏区,源/漏区邻近栅结构;在有源图案和隔离层上形成绝缘夹层以覆盖栅结构和源/漏区;在绝缘夹层上形成多个第一牺牲图案;在该多个第一牺牲图案的侧壁上形成第一间隔物;去除该多个第一牺牲图案;形成多个第二牺牲图案,第二牺牲图案与第一间隔物交叉,每个第二牺牲图案包括线部分和突出部部分,突出部部分具有比线部分宽的宽度;在该多个第二牺牲图案的侧壁上形成第二间隔物;去除该多个第二牺牲图案;以及通过孔区域部分地去除绝缘夹层以形成暴露源/漏区的接触孔,该孔区域由第一间隔物和第二间隔物限定。
根据示例实施方式,一种光掩模布图包括:在第一方向上延伸的多个第一图案区域;以及与第一图案区域交叉的多个第二图案区域,第二图案区域在第二方向上延伸,第一方向关于第二方向倾斜,每个第二图案区域包括线区域以及与线区域的一端连接的突出部区域,并且突出部区域具有比线区域大的宽度。
根据示例实施方式,一种形成精细图案的方法包括:在基板上形成目标层,基板具有单元区和虚设区;通过第一双图案化工艺在目标层上形成第一间隔物,第一间隔物在第一方向上延伸;通过第二双图案化工艺形成在第二方向上延伸的第二间隔物,第一方向关于第二方向倾斜,第二间隔物与第一间隔物交叉,并且第二间隔物之间的间隙在虚设区中在垂直于第二方向的第三方向上交替地增大和减小;以及通过由第一间隔物和第二间隔物限定的空间蚀刻目标层以形成接触孔。
附图说明
从以下结合附图的详细描述,示例实施方式将被更清楚地理解。图1至图37描绘了如这里描述的非限制的示例实施方式。
图1A和图1B是示出根据一些示例实施方式的光掩模布图的俯视图;
图2至图21是示出根据示例实施方式的形成精细图案的方法的俯视图和剖视图;
图22至图24是示出根据示例实施方式的形成精细图案的方法的俯视图;
图25和图26是示出根据一些比较示例的形成精细图案的方法的俯视图;以及
图27至图37是示出根据示例实施方式的制造半导体器件的方法的俯视图和剖视图。
具体实施方式
在下文,将参照附图详细说明一些示例实施方式。
图1A和图1B是示出根据一些示例实施方式的光掩模布图的俯视图。
在图1A和图1B中,第一方向和第二方向可以定义基本上彼此垂直的两个方向。第三方向可以定义相对于第一方向或第二方向的倾斜方向。
参照图1A,光掩模布图可以包括空白区域100、第一图案区域110和第二图案区域120。
空白区域100可以对应于可对其进行曝光工艺的层、掩模层或牺牲层。
第一图案区域110可以在倾斜方向上延伸。例如,第一图案区域110可以在第三方向上延伸。多个第一图案区域110可以布置在基本上垂直于第三方向的方向上。
第一空间115可以限定在第一图案区域110之间。因此,第一空间115可以在第三方向上延伸。在一些示例实施方式中,每个第一空间115可以在基本上垂直于第三方向的所述方向上具有一宽度。
在一些示例实施方式中,第一图案区域110可以对应于用于第一双图案化工艺的第一双图案化技术(DPT)图案。
第二图案区域120可以在笔直的方向上延伸。例如,第二图案区域120可以在第二方向上延伸。第二图案区域120可以位于第一图案区域110之上。第二图案区域120可以与第一图案区域110交叉。此外,多个第二图案区域120可以布置在第一方向上。
第二空间130可以限定在第二图案区域120之间。因此,第二空间130可以在第二方向上延伸。在一些示例实施方式中,每个第二空间130可以在第一方向上具有一宽度。
在一些示例实施方式中,第二图案区域120可以包括线区域123和突出部区域125。突出部区域125可以连接到线区域123的一端。突出部区域125可以在第一方向上具有比线区域123在第一方向上的宽度大的宽度。
相邻的突出部区域125之间的第二空间130可以具有比相邻的线区域123之间的第二空间130的宽度小的宽度。因此,空白区域100的通过相邻的突出部区域125的部分暴露的区域可以小于空白区域100的通过相邻的线区域123的部分暴露的区域。
在一些示例实施方式中,在图1A中,突出部区域125可以连接到线区域123的一端。在一些示例实施方式中,两个突出部区域125可以分别连接到线区域123的两端。
参照图1B,第二图案区域120之间的间隙可以彼此不同。例如,中央的第二图案区域和边缘的第二图案区域之间的间隙可以比中央的第二图案区域之间的间隙宽。例如,第二图案区域120可以包括单元图案区域120a(例如中央的第二图案区域)和虚设图案区域120b(例如边缘的第二图案区域)。
单元图案区域120a可以布置在与半导体器件的单元区或单元块对应的区域中。虚设图案区域120b可以布置在单元区或单元块的边界区域或外围区域中。两个虚设图案区域120b可以分别布置在单元图案区域120a的两侧。
在一些示例实施方式中,单元图案区域120a和虚设图案区域120b之间的第二空间130b可以具有比单元图案区域120a之间的第二空间130a的宽度大的宽度。
如参照图1A叙述的,单元图案区域120a和虚设图案区域120b可以在第二方向上延伸。单元图案区域120a和虚设图案区域120b的每个可以包括线区域123和突出部区域125。
图2至图21是示出根据示例实施方式的形成精细图案的方法的俯视图和剖视图。图2、图4、图6、图8、图10、图12和图14-18是示出形成精细图案的方法的俯视图。图3是沿图2中的线III-III’截取的剖视图。图5是沿图4中的线V-V’截取的剖视图。图7是沿图8中的线VII-VII’截取的剖视图。图9是沿图8中的线IX-IX’截取的剖视图。图11是沿图10中的线XI-XI’截取的剖视图。图13是沿图12中的线XIII-XIII’截取的剖视图。图19至图21是沿图18中的线XIX-XIX’截取的剖视图。
在图2至图21中,第一方向和第二方向可以定义基本上彼此垂直的两个方向。第三方向可以定义相对于第一方向或第二方向的倾斜方向。
参照图2和图3,目标层210、掩模层220、缓冲层230和第一牺牲层240可以顺序地形成在基板200的上表面上。
在一些示例实施方式中,基板200可以包括半导体基板诸如硅基板、锗基板、硅锗基板、绝缘体上硅(SOI)基板、绝缘体上锗(GOI)基板等。基板200可以包括III-V族化合物诸如InP、GaP、GaAs、GaSb等。尽管没有在附图中绘出,但是电路结构诸如栅结构、杂质区、布线、插塞等可以形成在基板200上。
目标层210可以通过双图案化工艺转变为精细图案。例如,目标层210可以通过双图案化工艺被部分地蚀刻以形成具有孔的精细图案。
目标层210可以配置为至少部分地覆盖电路结构。在一些示例实施方式中,目标层210可以包括硅氧化物(例如等离子体增强氧化物(PEOX)、正硅酸乙酯(TEOS)或硅酸盐玻璃)。目标层210可以是具有低介电常数的有机氧化物,例如聚硅氧烷或硅倍半氧烷。
目标层210可以通过例如化学气相沉积(CVD)工艺、物理气相沉积(PVD)工艺、原子层沉积(ALD)工艺或旋涂工艺形成。
掩模层220可以形成在目标层210的上表面上。掩模层220可以通过双图案化工艺转变为掩模图案。掩模图案可以用于蚀刻目标层210。
在一些示例实施方式中,掩模层220可以通过例如使用硅基或碳基旋涂硬掩模材料(SOH)的旋涂工艺形成。
缓冲层230可以形成在掩模层220的上表面上。缓冲层230可以用作蚀刻停止层。例如,缓冲层230可以是由溅射工艺或ALD工艺形成的硅氮氧化物或硅氮化物。
第一牺牲层240可以形成在缓冲层230的上表面上。第一牺牲层240可以对应于第一双图案化工艺的目标层。第一牺牲层240可以通过例如使用SOH的旋涂工艺形成。
参照图4和图5,第一牺牲层240可以被图案化以形成第一牺牲图案245。
在一些示例实施方式中,第一牺牲图案245可以通过将图1A或图1B中的光掩模布图的第一图案区域110转录到第一牺牲层240中而形成。
例如,第一光致抗蚀剂膜(未示出)可以形成在第一牺牲层240的上表面上。可以对第一光致抗蚀剂膜执行使用光掩模布图的第一图案区域110的第一曝光工艺以形成第一光致抗蚀剂图案。第一牺牲层240可以使用第一光致抗蚀剂图案作为蚀刻掩模来图案化以形成第一牺牲图案245。在形成第一牺牲图案245之后,第一光致抗蚀剂图案可以然后通过剥离工艺去除。
在一些示例实施方式中,第一牺牲图案245可以在倾斜方向(例如第三方向)上延伸。多个第一牺牲图案245可以布置在基本上垂直于第三方向的方向上。缓冲层230的上表面可以通过第一牺牲图案245之间的间隙被部分地暴露。第一牺牲图案245可以平行于目标层210的上表面。
参照图6和图7,第一间隔物层250可以形成在第一牺牲图案245的上表面和缓冲层230的暴露的上表面上。
第一间隔物层250可以包括具有优良的台阶覆盖或共形特性的材料。第一间隔物层250可以包括ALD氧化物。
参照图8和图9,第一间隔物层250可以被部分地去除以在第一牺牲图案245的侧壁上形成第一间隔物255。
在一些示例实施方式中,第一间隔物层250的在第一牺牲图案245的上表面和缓冲层230的上表面上的部分可以通过回蚀刻工艺去除以在第一牺牲图案245的侧壁上形成第一间隔物255。
参照图10和图11,第一牺牲图案245可以通过灰化工艺或剥离工艺去除。
通过去除第一牺牲图案245,在第三方向上延伸的第一间隔物255可以保留在缓冲层230的上表面上。第一开口260可以通过第一双图案化工艺形成在第一间隔物255之间。
第一开口260可以在倾斜方向(例如第三方向)上延伸。第一开口260可以布置在基本上垂直于第三方向的所述方向上。
参照图12和图13,第二牺牲层270可以形成在缓冲层230上以覆盖第一间隔物255。
第二牺牲层270可以包括与第一牺牲层240的材料基本上相同或类似的材料。例如,第二牺牲层270可以通过使用SOH的旋涂工艺形成。在一些示例实施方式中,第二牺牲层270可以具有用于填满第一开口260并覆盖第一间隔物255的厚度。在一些示例实施方式中,第二牺牲层270可以对应于第二双图案化工艺的目标层。
参照图14,第二牺牲层270可以被图案化以形成第二牺牲图案275。
在一些示例实施方式中,第二牺牲图案275可以通过将图1A或图1B中的光掩模布图的第二图案区域120转录到第二牺牲层270中而形成。
例如,第二光致抗蚀剂膜(未示出)可以形成在第二牺牲层270的上表面上。可以对第二光致抗蚀剂膜执行使用光掩模布图的第二图案区域120的第二曝光工艺以形成第二光致抗蚀剂图案。第二牺牲层270可以使用第二光致抗蚀剂图案作为蚀刻掩模来图案化以形成第二牺牲图案275。在形成第二牺牲图案275之后,第二光致抗蚀剂图案可以然后通过剥离工艺去除。
在一些示例实施方式中,第二牺牲图案275可以与第一间隔物255交叉。第二牺牲图案275可以在第二方向上延伸。多个第二牺牲图案275可以布置在第一方向上。
第二牺牲图案275可以包括线部分276和突出部部分277。线部分276可以通过将光掩模布图的线区域123转录到第二牺牲层270中而形成。突出部部分277可以通过将光掩模布图的突出部区域125转录到第二牺牲层270中而形成。
突出部部分277可以连接到线部分276的一端。突出部部分277可以在第一方向上具有比线部分276的宽度大的宽度。可选地,两个突出部部分277可以分别连接到线部分276的两端。
在一些示例实施方式中,当可以使用图1B中的光掩模布图时,边缘的第二牺牲图案275和中央的第二牺牲图案275之间的间隙可以比中央的第二牺牲图案275之间的间隙宽。
在这种情形下,第二牺牲图案275可以包括单元牺牲图案275a和虚设牺牲图案275b。单元牺牲图案275a可以通过将光掩模布图的单元图案区域120a转录到第二牺牲层270中而形成。虚设牺牲图案275b可以通过将光掩模布图的虚设图案区域120b转录到第二牺牲层270中而形成。
在一些示例实施方式中,单元牺牲图案275a的线部分可以布置在半导体器件的单元区或单元块中。单元牺牲图案275a和虚设牺牲图案275b的突出部部分277可以布置在单元区或单元块的边界区域或外围区域中。
在第一方向上,虚设牺牲图案275b和单元牺牲图案275a之间的间隙可以比单元牺牲图案275a之间的间隙宽。第一间隔物255和缓冲层230可以通过第二牺牲图案275之间的空间被部分地暴露。
参照图15,第二间隔物280可以形成在第二牺牲图案275的侧壁上。
第二间隔物280可以通过与参照图6至图9的用于形成第一间隔物255的工艺基本上相同或类似的工艺形成。例如,第二间隔物层可以共形地形成在第二牺牲图案275、缓冲层230和第一间隔物255上。第二间隔物层可以通过回蚀刻工艺被部分地去除以在第二牺牲图案275的侧壁上形成第二间隔物280。
在一些示例实施方式中,第二间隔物层可以包括与第一间隔物255的材料不同的材料从而保证蚀刻选择性。第二间隔物层可以通过使用硅氮化物的ALD工艺形成。
参照图16,第二牺牲图案275可以通过灰化工艺和/或剥离工艺去除。
通过去除第二牺牲图案275,第二间隔物280可以保留。此外,第二开口285可以通过第二间隔物280之间在第一方向上的空间来限定。第二开口285可以在第二方向上延伸。第二开口285可以布置在第一方向上。
在其中布置和/或去除突出部部分277的区域中,第二开口285的宽度可以在第一方向上交替地增大和减小。也就是,在其中布置和/或去除突出部部分277的区域中,第二间隔物280之间的间隙可以在第一方向上交替地增大和减小。
在第三方向上延伸的第一间隔物255和在第二方向上延伸的第二间隔物280可以通过第一双图案化工艺和第二双图案化工艺而在缓冲层230上彼此交叉。第一间隔物255和第二间隔物280可以形成平行四边形形状的空间(room)。平行四边形形状的空间可以限定由图16中的虚线表示的孔区域。
孔区域可以包括第一孔区域290、第二孔区域292、第三孔区域294和第四孔区域296。
在一些示例实施方式中,第一孔区域290可以形成在单元区或单元块中。第二孔区域292和第三孔区域294可以形成在单元区或单元块的边界区域或外围区域中。第四孔区域296可以形成在单元区或单元块外面。
第二孔区域292可以由通过去除第二牺牲图案275的突出部部分277(见图14和图15)而形成的空间来限定。由于突出部部分277的宽度可以比线部分276的宽度宽,所以第二孔区域292可以具有比第一孔区域290的尺寸大的尺寸。
第三孔区域294可以由图14中的单元牺牲图案275a和虚设牺牲图案275b之间的空间(例如对应于第二空间130b的空间)限定。由于单元牺牲图案275a和虚设牺牲图案275b之间的间隙比单元牺牲图案275a之间的间隙宽,所以第三孔区域294可以具有比第一孔区域290的尺寸大的尺寸。
在一些示例实施方式中,第二孔区域292和第三孔区域294可以用作虚设孔区域。
第四孔区域296可以形成在第二开口285之间,第二开口285可以位于相邻的突出部部分277之间。由于突出部部分277的宽度可以比线部分276的宽度宽,所以突出部部分277之间的第二开口285可以具有比线部分276之间的第二开口285的宽度小的宽度。此外,在突出部部分277的侧壁上的第二间隔物280可以进一步减小第二开口285的宽度。
因此,与单元区或单元块外面的寄生孔区域对应的第四孔区域296的尺寸可以减小。
参照图17,修整图案(trim pattern)可以形成在缓冲层230、第一间隔物255和第二间隔物280上。修整图案可以包括覆盖或遮挡第四孔区域296的第一修整图案部分300。
第一修整图案部分300可以通过使用光致抗蚀剂材料的曝光工艺和显影工艺形成。
在一些示例实施方式中,第一修整图案部分300可以在第一方向上延伸以完全覆盖第四孔区域296。第一修整图案部分300可以不覆盖第二孔区域292。如上所述,由于作为寄生孔区域的第四孔区域296可以通过突出部部分277而具有小的尺寸,所以第一修整图案部分300可以仅覆盖第四孔区域296,而不覆盖第二孔区域292。
在一些示例实施方式中,修整图案可以包括在第二方向上延伸的第二修整图案部分310。第二修整图案部分310可以覆盖第三孔区域294外面的区域。
参照图18和图19,缓冲层230和掩模层220可以使用第一间隔物255和第二间隔物280作为蚀刻掩模被部分地蚀刻以形成缓冲图案235和掩模层图案225。
因此,第一孔区域290、第二孔区域292和第三孔区域294可以扩展到掩模层220中以形成掩模图案225。由于第一修整图案部分300覆盖第四孔区域296,所以第四孔区域296可以不被转录到掩模层200中。
参照图20,第一修整图案部分300和第二修整图案部分310、第一间隔物255和第二间隔物280以及缓冲层230可以通过化学机械抛光(CMP)工艺去除。因此,掩模图案225可以保留在目标层210上。
参照图21,目标层210可以使用掩模图案225作为蚀刻掩模来蚀刻。
第一至第三孔区域290、292和294可以通过蚀刻工艺被转录到目标层210中以形成接触孔。
接触孔可以包括第一接触孔320、第二接触孔和第三接触孔330。第一接触孔320可以通过将第一孔区域290转录到目标层210中而形成。第二接触孔可以通过将第二孔区域292转录到目标层210中而形成。第三接触孔330可以通过将第三孔区域294转录到目标层210中而形成。
在一些示例实施方式中,导电材料诸如金属、金属氮化物、金属硅化物和/或掺杂的多晶硅可以形成在接触孔中以形成接触。例如,半导体器件的单元区或单元块中的单元接触可以形成在第一接触孔320中。
单元区或单元块的边界区域或外围区域中的虚设接触可以形成在第二接触孔和/或第三接触孔330中。在一些示例实施方式中,第二接触孔和第三接触孔330可以具有比第一接触孔320的面积或体积大的面积或体积。因此,虚设接触可以具有比单元接触的面积或体积大的面积或体积。
在形成接触之后,可以对单元区或单元块执行用于制造半导体器件的后续工艺(包括例如沉积工艺和/或蚀刻工艺)。虚设接触可以用作缓冲物以吸收由所述工艺引起的负载或应力。
在形成接触孔或接触之后,掩模图案225可以通过灰化工艺和/或剥离工艺去除。
图22至图24是示出根据示例实施方式的形成精细图案的方法的俯视图。
为了简洁起见,这里可以省略关于与参照图2至图21说明的那些工艺基本上相同的工艺的任何进一步说明。
参照图21,可以执行与参照图2至图14说明的那些工艺基本上相同的工艺。
在一些示例实施方式中,第一间隔物255可以形成在缓冲层230上。第一间隔物255可以在第三方向上延伸。第二牺牲图案275可以形成在第一间隔物255和缓冲层230上。第二牺牲图案275可以在第二方向上延伸。
第二牺牲图案275可以包括线部分276和突出部部分278。突出部部分278可以在第一方向上具有比线部分276在第一方向上的宽度大的宽度。
由于突出部部分278的宽度大于线部分276的宽度,所以与图14中的突出部部分277之间的空间相比,突出部部分278之间的空间可以减小。
在一些示例实施方式中,第二牺牲图案275可以包括单元牺牲图案275a和虚设牺牲图案275b。虚设牺牲图案275b和单元牺牲图案275a之间的间隙可以比单元牺牲图案275a之间的间隙宽。
参照图23,第二间隔物282可以通过与参照图15说明的那些工艺基本上类似的工艺形成在第二牺牲图案275的侧壁上。
在一些示例实施方式中,第二间隔物282可以完全地填充突出部部分278之间的空间。
参照图24,如参照图16叙述的,第二牺牲图案275可以被去除以形成由彼此交叉的第一间隔物255和第二间隔物282限定的孔区域。
例如,第一孔区域290可以形成在半导体器件的单元区或单元块中。被提供作为虚设孔区域的第二孔区域292a和第三孔区域294可以形成在单元区或单元块的边界区域或外围区域中。
由于突出部部分278之间的空间用第二间隔物282完全地填充,所以可以不形成图16中的第四孔区域296。因此,这里可以省略如图17所示的用于形成第一修整图案部分300(其可以遮挡寄生孔区域)的工艺。
可以执行与参照图18至图21说明的那些工艺基本上相同或类似的工艺以将孔区域转录到目标层中,从而形成接触孔。
图25和图26是示出根据一些比较示例的形成精细图案的方法的俯视图。
为了简洁起见,这里可以省略关于与参照图2至图21或图22至图24说明的那些工艺基本上相同的工艺的任何进一步说明。
参照图25,在第二方向上延伸的第二牺牲图案可以仅包括具有均一宽度的线部分。第二牺牲图案可以不包括突出部部分。多个第二牺牲图案可以以均一的间距彼此间隔开地布置。
孔区域可以形成在第一间隔物340和第二间隔物350之间的交叉区域处。孔区域可以具有基本上相同的尺寸。例如,第一孔区域360、第二孔区域362、第三孔区域364和第四孔区域366可以具有基本上相同的尺寸。
第一修整图案370可以遮挡作为单元区外面的寄生孔区域的第四孔区域366。根据比较示例,第一至第四孔区域360、362、364和366可以具有相同的尺寸。此外,第一至第四孔区域360、362、364和366可以布置成蜂窝形状。因此,第一修整图案370可以部分地遮挡第二孔区域362以及第四孔区域366。
此外,由于第二孔区域362和第三孔区域364的尺寸与第一孔区域360的尺寸基本上相同,所以形成具有足够体积和/或面积的虚设接触会是有挑战性的。
参照图26,为了仅遮挡第四孔区域366,第一修整图案375可以具有波状形状。然而,为了形成第一修整图案375,会需要具有高分辨率的曝光装置或光源。此外,修整工艺的成本会相当大地增加。
相反,根据一些示例实施方式,如图14所示,第二牺牲图案275可以包括突出部部分277以减小不期望的寄生孔区域的尺寸或去除不期望的寄生孔区域。因此,用于遮挡寄生孔区域的修整工艺可以容易地执行。在一些示例实施方式中,修整工艺可以被省略。
此外,虚设牺牲图案275b和单元牺牲图案275a之间的间隙可以增大使得虚设孔区域的尺寸也可以增大。因此,用于减小负载或应力的虚设接触可以具有增大的体积。
图27至图37是示出根据示例实施方式的制造半导体器件的方法的俯视图和剖视图。
图27、图29和图33是示出制造半导体器件的方法的俯视图。图28是沿图27中的线XXVIIIa-XXVIIIa’和XXVIIIb-XXVIIIb’截取的剖视图。图30至图32是沿图29中的线XXXa-XXXa’和XXXb-XXXb’截取的剖视图。图34至图37是沿图33中的线XXXIVa-XXXIVa’和XXXIVb-XXXIVb’截取的剖视图。
例如,图27至图37示出制造包括掩埋单元阵列晶体管(BCAT)的DRAM器件的方法。为了简洁起见,这里可以省略关于与参照图2至图21或图22至图24说明的那些工艺基本上相同的工艺的任何进一步说明。
参照图27和图28,隔离层402可以形成在基板400中以限定有源区405的图案。
在一些示例实施方式中,基板400可以包括半导体基板诸如硅基板、锗基板、硅锗基板、绝缘体上硅(SOI)基板、绝缘体上锗(GOI)基板等。基板400可以包括III-V族化合物诸如InP、GaP、GaAs、GaSb等。尽管没有在附图中绘出,但是电路结构诸如栅结构、杂质区、布线、插塞等可以形成在基板400上。
基板400或半导体器件可以包括单元区C和虚设区D。例如,存储单元可以形成在单元区C中以限定单元块。虚设区D可以由配置为围绕单元区C的外围区域限定。
隔离层402和有源图案405可以通过浅沟槽隔离(STI)工艺形成。例如,基板400的上部可以通过各向异性蚀刻工艺去除以形成隔离沟槽。包括硅氧化物的隔离层可以形成在基板400上以填充隔离沟槽。隔离层可以通过CMP工艺平坦化直到有源图案405的上表面可以被暴露以形成隔离层402。
通过形成隔离层402,有源图案405可以彼此间隔开。如图27所示,有源图案405可以在倾斜方向(即第三方向)上延伸。有源图案405可以布置在第一方向和第二方向上。
参照图29和图30,栅结构428可以形成在有源图案405的上部和隔离层402的上部中。
在一些示例实施方式中,有源图案405的上部和隔离层402的上部可以被蚀刻以形成栅沟槽409。栅沟槽409可以在第二方向上延伸。栅沟槽409可以布置在第一方向上。例如,两个栅沟槽409可以形成在一个有源图案405中。
栅绝缘层可以形成在有源图案405的通过栅沟槽409暴露的表面上。栅绝缘层可以是通过使用例如热氧化工艺或CVD工艺形成的硅氧化物或金属氧化物。
栅导电层可以形成在栅绝缘层上以填充栅沟槽。栅导电层可以通过CMP工艺平坦化直到有源图案405的上表面可以被暴露。栅沟槽409中的栅绝缘层和栅导电层可以通过回蚀刻工艺被部分地去除以在栅沟槽409中形成栅绝缘图案422和栅电极424。
栅导电层可以使用金属或金属氮化物通过ALD工艺、溅射工艺等形成。
掩模层可以形成在栅绝缘图案422和栅电极424上以填充栅沟槽409。掩模层可以被平坦化直到有源图案405的上表面可以被暴露以形成栅掩模426。掩模层可以使用硅氮化物通过CVD工艺形成。
结果,栅结构428可以形成在栅沟槽409中。栅结构428可以包括顺序地堆叠的栅绝缘图案422,栅电极424和栅掩模426。
根据栅沟槽409的布置,栅结构428可以在第二方向上延伸。栅结构428可以布置在第一方向上。栅结构428可以被掩埋在有源图案405中。有源区405的上部区域可以通过栅结构428划分成在两个相邻的栅结构428之间的中央部分以及布置在所述两个相邻的栅结构428外面的边缘部分。
可以对有源图案405的与栅结构428相邻的上部执行离子注入工艺以形成第一杂质区401和第二杂质区403。第一杂质区401可以形成在有源图案405的中央部分中。第二杂质区403可以形成在有源图案405的边缘部分中。第一杂质区401和第二杂质区403可以被提供作为半导体器件的源/漏区。
在一些示例实施方式中,如图30所示,隔离层402的上部可以通过回蚀刻工艺被部分地去除以暴露有源图案405的上部。可以对有源图案405的暴露的上部执行离子注入工艺以形成第一杂质区401和第二杂质区403。
BCAT结构可以由第一杂质区401和第二杂质区403以及栅结构428限定。第一绝缘夹层430可以形成在有源图案405和隔离层402上以覆盖BCAT结构。第一绝缘夹层430可以使用硅氧化物(例如TEOS)通过CVD工艺形成。
参照图31,第一绝缘夹层430可以被部分地蚀刻以形成配置为暴露第一杂质区401的凹槽437。凹槽437可以在图27或图29中的第一方向上延伸。多个凹槽437可以布置在第二方向上。
参照图32,第一导电层440可以形成在第一绝缘夹层430上以填充凹槽437。导电的阻挡层445和第二导电层447可以顺序地形成在第一导电层440上。掩模图案450可以形成在第二导电层447上。
例如,第一导电层440可以包括掺杂的多晶硅。导电的阻挡层445可以包括例如金属氮化物或金属硅氮化物。第二导电层447可以包括例如金属。第一导电层440、导电的阻挡层445和第二导电层447可以通过例如溅射工艺、PVD工艺或ALD工艺形成。
掩模图案450可以包括硅氮化物。掩模图案450可以具有在第一方向上延伸的线形形状。掩模图案450可以在第二方向上具有比凹槽437的宽度小的宽度。
参照图33和图34,第二导电层447、导电的阻挡层445和第一导电层440可以使用掩模图案450作为蚀刻掩模来蚀刻以形成顺序地堆叠在第一杂质区401上的第一导电图案442、导电的阻挡图案446和第二导电图案448。在图33中,为了图示的方便,省略第一绝缘夹层430。
结果,导电线结构455可以形成在第一杂质区401上。导电线结构455可以在第一方向上延伸。导电线结构455可以包括第一导电图案442、导电的阻挡图案446、第二导电图案448和掩模图案450。导电线结构455可以被提供作为位线。
在一些示例实施方式中,导电线结构455可以具有比凹槽437的宽度小的宽度。因此,导电线结构455的侧壁可以与凹槽437的侧壁间隔开。
如图33所示,当在俯视图中看时,第一孔区域458a可以通过由彼此交叉的导电线结构455和栅结构428限定的空间形成。第一孔区域458a可以与第二杂质区403至少部分地交叠。第一孔区域458a可以被限定在单元区C中。第二孔区域458b和第三孔区域458c可以被限定在虚设区D中。在一些示例实施方式中,第二孔区域458b和第三孔区域458c可以具有比第一孔区域458a的尺寸大的尺寸。
参照图35,间隔物457可以形成在导电线结构455的侧壁上。例如,包括硅氮化物的间隔物层可以形成在第一绝缘夹层430上以覆盖导电线结构455。间隔物层可以被各向异性地蚀刻以形成间隔物457。
第二绝缘夹层460可以形成在第一绝缘夹层430上以覆盖导电线结构455。凹槽437可以用第二绝缘夹层460完全地填充。第二绝缘夹层460可以使用氧化物诸如硅氧化物或聚硅氧烷通过CVD工艺或旋涂工艺形成。在一些示例实施方式中,第二绝缘夹层460可以通过CMP工艺平坦化以暴露掩模图案450的上表面。
如参照图3叙述的,掩模层500、缓冲层510和第一牺牲层520可以顺序地形成在第二绝缘夹层460和掩模图案450上。
参照图36,可以执行与参照图4至图21说明的那些工艺基本上相同或类似的工艺。
在一些示例实施方式中,可以对第一牺牲层520执行第一双图案化工艺以形成在缓冲层510上在第三方向上延伸的第一间隔物,如图10和图11所示。
第二牺牲层可以形成在缓冲层510上以覆盖第一间隔物。可以对第二牺牲层执行第二双图案化工艺。如参照图14叙述的,第二牺牲层可以被蚀刻以形成在第二方向上延伸的第二牺牲图案。每个第二牺牲图案可以包括线部分和突出部部分。如参照图15和图16叙述的,第二间隔物可以形成在第二牺牲图案的侧壁上。第二间隔物可以在第二方向上延伸。第二间隔物可以与第一间隔物交叉。第二牺牲图案可以然后被去除。
第一间隔物和第二间隔物之间的交叉区域可以限定布置成蜂窝形状的孔区域(见图33)。第一孔区域458a可以被限定在单元区C中。第二孔区域458b可以通过去除突出部部分被限定在虚设区D中。第三孔区域458c可以被限定在单元区C的外围区域处的虚设区D中。
如参照图16和图17叙述的,第二孔区域458b和第三孔区域458c的尺寸可以大于第一孔区域458a的尺寸。
在一些示例实施方式中,尽管寄生孔区域可以在虚设区D外面产生,但是突出部部分可以减小寄生孔区域的尺寸。如参照图22至图24叙述的,可以不产生寄生孔区域。
可以对第二绝缘夹层460和/或第一绝缘夹层430执行与参照图18至图21说明的那些工艺基本上相同或类似的工艺。
在一些示例实施方式中,缓冲层510和掩模层500可以通过第一至第三孔区域458a、458b和458c蚀刻。第二绝缘夹层460和第一绝缘夹层430可以通过掩模图案450蚀刻以形成接触孔470。
接触孔470可以通过将第一孔区域458a转录到第二绝缘夹层460和第一绝缘夹层430中而形成。接触孔470可以配置为部分地暴露第二杂质区403。
尽管没有在图36中绘出,但是虚设接触孔可以通过转录第二孔区域458b和第三孔区域458c而形成。虚设接触孔可以具有比接触孔470的尺寸大的尺寸。
在一些示例实施方式中,可以形成修整图案以遮挡寄生孔区域。可选地,形成修整图案可以被省略。
参照图37,导电接触475可以形成在接触孔470中。导电接触475可以配置为与第二杂质区403电连接或接触。电容器490可以形成在导电接触475上。导电接触475可以用作电容器接触。
例如,导电层可以形成在接触孔470中。导电层可以通过CMP工艺平坦化直到掩模图案450的上表面可以被暴露以在接触孔470中形成导电接触475。导电接触475可以配置为与第二杂质区403接触。
虚设接触可以与导电接触475同时地形成在虚设接触孔中。虚设接触可以具有比导电接触475的体积大的体积。虚设接触可以用作用于减小集中在单元区C上的应力的缓冲接触。
导电层可以是通过例如溅射工艺、PVD工艺、ALD工艺或CVD工艺形成的金属(例如铜或钨)。在一些示例实施方式中,导电层可以通过例如镀覆工艺或无电镀工艺形成。在一些示例实施方式中,阻挡层诸如钛氮化物、钛等可以形成在接触孔470的内表面上。
电容器490可以与导电接触475电连接以完成具有BCAT结构的DRAM器件。
例如,蚀刻停止层和模层可以顺序地形成在掩模图案450、第二绝缘夹层460和导电接触475上。模层和蚀刻停止层可以被部分地去除以形成暴露导电接触475的电容器开口。
下电极层可以形成在电容器开口的内表面和模层的上表面上。牺牲层可以形成在下电极层上。牺牲层和下电极层可以被平坦化直到可以暴露模层的上表面。牺牲层和模层可以被去除以形成下电极480。
电介质层485可以形成在蚀刻停止层和下电极480上。上电极487可以形成在电介质层485上以形成电容器490。电介质层485可以包括具有高介电常数的硅氧化物或金属氧化物。下电极480和上电极487可以包括金属或金属氮化物,诸如钨、钨氮化物、钛、钛氮化物、钽、钽氮化物、钌、钌氮化物等。
形成精细图案的方法可以应用于形成DRAM器件的具有精细临界尺寸的电容器接触。此外,形成精细图案的方法可以应用于形成半导体器件(诸如逻辑器件、SRAM器件、快闪存储器件、PRAM器件、MRAM器件、RRAM器件等)的精细结构。
以上是对一些示例实施方式的说明,而不应被解释为对其进行限制。尽管已经描述了几个示例实施方式,但是本领域技术人员将容易地理解,在示例实施方式中可以有许多变型,而在实质上没有脱离本发明构思的新颖教导和优点。因此,所有这样的变型旨在被包括在本发明构思的如权利要求书所限定的范围内。在权利要求书中,装置加功能条款旨在覆盖当执行所述的功能时这里描述的结构,不仅覆盖结构等同物而且覆盖等同结构。因此,将理解,以上是对各种示例实施方式的说明,而不应被解释为限于所公开的特定示例实施方式,对所公开的示例实施方式的变型以及其它示例实施方式旨在被包括在权利要求书的范围内。
本申请要求于2016年3月3日在韩国知识产权局(KIPO)提交的第10-2016-0025528号韩国专利申请的优先权,其内容通过引用整体地结合于此。

Claims (24)

1.一种形成精细图案的方法,所述方法包括:
在目标层上形成多个第一牺牲图案,所述目标层在基板上;
在所述多个第一牺牲图案的各自的侧壁上形成第一间隔物;
去除所述多个第一牺牲图案;
形成多个第二牺牲图案,所述第二牺牲图案与所述第一间隔物交叉,每个所述第二牺牲图案包括线部分和突出部部分,并且所述突出部部分具有比所述线部分宽的宽度;
在所述多个第二牺牲图案的各自的侧壁上形成第二间隔物;
去除所述多个第二牺牲图案;以及
通过孔区域蚀刻所述目标层以暴露所述基板,所述孔区域由所述第一间隔物和所述第二间隔物限定。
2.根据权利要求1所述的方法,其中所述第二牺牲图案在第二方向上延伸,所述第二牺牲图案布置在垂直于所述第二方向的第一方向上,所述第一牺牲图案在关于所述第一方向和所述第二方向两者倾斜的第三方向上延伸,所述第一间隔物在所述第三方向上延伸,并且所述第二间隔物在所述第二方向上延伸。
3.根据权利要求2所述的方法,其中所述突出部部分连接到所述线部分的沿所述第二方向的一端。
4.根据权利要求3所述的方法,其中所述孔区域包括:
第一孔区域,由所述线部分的侧壁上的所述第二间隔物和所述第一间隔物限定;以及
第二孔区域,在所述第二牺牲图案的所述突出部部分被去除的空间处由所述突出部部分的侧壁上的所述第二间隔物和所述第一间隔物限定,
其中每个所述第二孔区域具有比每个所述第一孔区域的尺寸大的尺寸。
5.根据权利要求4所述的方法,其中所述第二牺牲图案包括:
单元牺牲图案;和
虚设牺牲图案,与所述单元牺牲图案中的一个在所述第一方向上相邻地定位。
6.根据权利要求5所述的方法,其中所述虚设牺牲图案和所述单元牺牲图案中的与所述虚设牺牲图案相邻的所述一个之间的间隙比所述单元牺牲图案之间的间隙宽。
7.根据权利要求6所述的方法,其中所述孔区域还包括形成在所述虚设牺牲图案和与所述虚设牺牲图案相邻的所述单元牺牲图案之间的空间中的第三孔区域,每个所述第三孔区域具有比所述第一孔区域大的尺寸。
8.根据权利要求4所述的方法,其中所述孔区域还包括形成在所述突出部部分之间的空间中的第四孔区域,所述第四孔区域具有比所述第二孔区域小的尺寸。
9.根据权利要求1所述的方法,其中在所述第二牺牲图案的所述侧壁上形成所述第二间隔物包括:
在所述第二牺牲图案的表面上形成第二间隔物层使得所述突出部部分之间的空间被所述第二间隔物填充;以及
部分地去除所述第二间隔物层以在所述第二牺牲图案的所述侧壁上形成所述第二间隔物。
10.根据权利要求1所述的方法,还包括:
在形成第一牺牲图案之前,在所述目标层上形成掩模层;以及
将所述孔区域转录到所述掩模层中以形成掩模图案。
11.一种形成精细图案的方法,所述方法包括:
在基板上形成目标层,所述基板具有单元区和虚设区;
通过第一双图案化工艺在所述目标层上形成第一间隔物,所述第一间隔物在第一方向上延伸;
通过第二双图案化工艺形成在第二方向上延伸的第二间隔物,所述第一方向关于所述第二方向倾斜,所述第二间隔物与所述第一间隔物交叉,并且所述第二间隔物之间的间隙在所述虚设区中在垂直于所述第二方向的第三方向上交替地增大和减小;以及
通过由所述第一间隔物和所述第二间隔物限定的空间蚀刻所述目标层以形成接触孔,
其中所述第一双图案化工艺包括:
在所述目标层上形成多个第一牺牲图案,所述第一牺牲图案在所述第一方向上延伸;
在所述第一牺牲图案的侧壁上形成所述第一间隔物;以及
去除所述第一牺牲图案,
其中所述第二双图案化工艺包括:
形成多个第二牺牲图案,所述第二牺牲图案与所述第一间隔物交叉,每个所述第二牺牲图案包括线部分和突出部部分,并且所述突出部部分具有比所述线部分宽的宽度;
在所述第二牺牲图案的侧壁上形成所述第二间隔物;以及
去除所述第二牺牲图案。
12.根据权利要求11所述的方法,其中所述空间中的由在所述单元区上的所述第一间隔物和所述第二间隔物限定的第一组形成单元孔区域,所述空间中的由在所述虚设区上的所述第一间隔物和所述第二间隔物限定的第二组形成虚设孔区域,并且每个所述虚设孔区域具有比每个所述单元孔区域大的尺寸。
13.根据权利要求12所述的方法,其中寄生孔区域由相邻的所述突出部部分之间的空间限定,并且所述寄生孔区域具有比每个所述单元孔区域的尺寸小的尺寸,
所述方法还包括形成修整图案以遮挡所述寄生孔区域。
14.一种形成精细图案的方法,所述方法包括:
在基板上形成隔离层以限定有源图案;
在所述有源图案和所述隔离层上形成栅结构;
在所述有源图案上形成源/漏区,所述源/漏区邻近所述栅结构;
在所述有源图案和所述隔离层上形成绝缘夹层以覆盖所述栅结构和所述源/漏区;
在所述绝缘夹层上形成多个第一牺牲图案;
在所述多个第一牺牲图案的侧壁上形成第一间隔物;
去除所述多个第一牺牲图案;
形成多个第二牺牲图案,所述第二牺牲图案与所述第一间隔物交叉,每个所述第二牺牲图案包括线部分和突出部部分,并且所述突出部部分具有比所述线部分宽的宽度;
在所述多个第二牺牲图案的侧壁上形成第二间隔物;
去除所述多个第二牺牲图案;以及
通过孔区域部分地去除所述绝缘夹层以形成暴露所述源/漏区的接触孔,所述孔区域由所述第一间隔物和所述第二间隔物限定。
15.根据权利要求14所述的方法,其中所述第二牺牲图案在第一方向上延伸,所述第二牺牲图案布置在垂直于所述第一方向的第二方向上,所述第一牺牲图案在关于所述第一方向和所述第二方向两者倾斜的第三方向上延伸。
16.根据权利要求14所述的方法,还包括:
通过虚设孔区域蚀刻所述绝缘夹层以形成虚设接触孔;
在所述接触孔中形成接触;以及
在所述虚设接触孔中形成虚设接触。
17.根据权利要求16所述的方法,其中所述虚设接触具有比每个所述接触大的体积。
18.一种光掩模布图,包括:
在第一方向上延伸的多个第一图案区域;以及
与所述第一图案区域交叉的多个第二图案区域,所述第二图案区域在第二方向上延伸,所述第一方向关于所述第二方向倾斜,每个所述第二图案区域包括线区域和与所述线区域的一端连接的突出部区域,并且所述突出部区域具有比所述线区域大的宽度,
其中所述突出部区域之间的间隙比所述线区域之间的间隙窄。
19.根据权利要求18所述的光掩模布图,其中所述第二图案区域包括单元图案区域和虚设图案区域,并且所述虚设图案区域和与所述虚设图案区域相邻的所述单元图案区域之间的间隙比所述单元图案区域之间的间隙宽。
20.一种形成精细图案的方法,所述方法包括:
在基板上形成目标层,所述基板具有单元区和虚设区;
通过第一图案化工艺在所述目标层上形成第一间隔物,所述第一间隔物在第一方向上延伸;
通过第二图案化工艺形成在第二方向上延伸的第二间隔物,所述第一方向关于所述第二方向倾斜,所述第二间隔物与所述第一间隔物交叉,并且所述第二间隔物之间的间隙在所述虚设区中在垂直于所述第二方向的第三方向上交替地增大和减小;以及
通过由所述第一间隔物和所述第二间隔物限定的空间蚀刻所述目标层以形成接触孔。
21.根据权利要求20所述的方法,其中所述第一图案化工艺和所述第二图案化工艺中的至少一个是双图案化工艺,该双图案化工艺包括提供牺牲结构、在所述牺牲结构的侧壁上形成间隔物以及去除所述间隔物之间的所述牺牲结构。
22.根据权利要求21所述的方法,其中
所述第一图案化工艺是第一双图案化工艺,所述第一双图案化工艺包括:
在所述目标层上形成多个第一牺牲结构,所述第一牺牲结构的每个在所述第一方向上延伸;
在所述第一牺牲结构的侧壁上形成所述第一间隔物;以及
去除所述第一牺牲结构以限定第一图案,并且
所述第二图案化工艺是第二双图案化工艺,所述第二双图案化工艺包括:
在所述第一图案上形成多个第二牺牲结构,所述第二牺牲结构的每个在关于所述第一方向倾斜的所述第二方向上延伸;
在所述第二牺牲结构的侧壁上形成所述第二间隔物;以及
去除所述第二牺牲结构以限定第二图案。
23.根据权利要求22所述的方法,其中所述第二牺牲结构的每个包括线部分和突出部部分,所述突出部部分在所述第二方向上从所述线部分延伸,并且所述突出部部分的宽度比所述线部分的宽度宽。
24.根据权利要求22所述的方法,其中:
所述基板包括单元区和虚设区;
孔区域包括多个单元孔区域和多个虚设孔区域;
所述多个单元孔区域通过由所述单元区上的所述第一图案和所述第二图案限定的多个第一空间形成;
所述多个虚设孔区域通过由所述虚设区上的所述第一图案和所述第二图案限定的多个第二空间形成;并且
每个所述虚设孔区域具有比每个所述单元孔区域大的尺寸。
CN201710123674.4A 2016-03-03 2017-03-03 光掩模布图以及形成精细图案的方法 Active CN107154345B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2016-0025528 2016-03-03
KR1020160025528A KR102400320B1 (ko) 2016-03-03 2016-03-03 포토마스크 레이아웃, 미세 패턴 형성 방법 및 반도체 장치의 제조 방법

Publications (2)

Publication Number Publication Date
CN107154345A CN107154345A (zh) 2017-09-12
CN107154345B true CN107154345B (zh) 2023-10-20

Family

ID=59723695

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710123674.4A Active CN107154345B (zh) 2016-03-03 2017-03-03 光掩模布图以及形成精细图案的方法

Country Status (3)

Country Link
US (3) US10050129B2 (zh)
KR (1) KR102400320B1 (zh)
CN (1) CN107154345B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10600687B2 (en) * 2017-04-19 2020-03-24 Tokyo Electron Limited Process integration techniques using a carbon layer to form self-aligned structures
CN109524295B (zh) * 2017-09-20 2023-12-08 长鑫存储技术有限公司 半导体器件及其形成方法、存储器
CN109920730B (zh) * 2017-12-13 2021-04-20 联华电子股份有限公司 一种图案化方法
US11502163B2 (en) * 2019-10-23 2022-11-15 Nanya Technology Corporation Semiconductor structure and fabrication method thereof
CN112885781B (zh) * 2019-11-29 2022-06-24 长鑫存储技术有限公司 有源区的制备方法及半导体器件
CN115223945A (zh) * 2021-04-16 2022-10-21 长鑫存储技术有限公司 半导体结构的制造方法、半导体结构与存储器
US11411006B1 (en) * 2021-04-16 2022-08-09 Nanya Technology Corporation Manufacturing method of memory structure
KR20230022752A (ko) * 2021-08-09 2023-02-16 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN113937103A (zh) * 2021-08-27 2022-01-14 长鑫存储技术有限公司 一种接触孔图案的制备方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103367258A (zh) * 2012-04-06 2013-10-23 力晶科技股份有限公司 半导体线路结构及其制作工艺
US8603919B2 (en) * 2011-12-19 2013-12-10 Hynix Semiconductor Inc. Method for fabricating semiconductor device

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4145003B2 (ja) * 2000-07-14 2008-09-03 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
JP2002050566A (ja) 2000-08-04 2002-02-15 Nec Corp 蜂の巣格子パターンの露光方法
JP4600836B2 (ja) * 2006-08-09 2010-12-22 エルピーダメモリ株式会社 半導体記憶装置の製造方法
KR100819673B1 (ko) * 2006-12-22 2008-04-04 주식회사 하이닉스반도체 반도체 소자 및 그의 패턴 형성 방법
KR100898394B1 (ko) * 2007-04-27 2009-05-21 삼성전자주식회사 반도체 집적 회로 장치 및 그 제조 방법
US8481417B2 (en) * 2007-08-03 2013-07-09 Micron Technology, Inc. Semiconductor structures including tight pitch contacts and methods to form same
KR20100102422A (ko) 2009-03-11 2010-09-24 주식회사 하이닉스반도체 스페이서 패터닝 공정을 이용한 콘택홀 형성 방법
KR20100134418A (ko) 2009-06-15 2010-12-23 주식회사 하이닉스반도체 스페이서 패터닝 공정을 이용한 콘택홀 형성 방법
KR101883327B1 (ko) 2012-03-28 2018-07-30 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
KR101883294B1 (ko) 2012-03-28 2018-07-30 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
KR20140028722A (ko) 2012-08-30 2014-03-10 에스케이하이닉스 주식회사 반도체 장치의 홀 패턴 제조 방법
KR20140129787A (ko) 2013-04-30 2014-11-07 에스케이하이닉스 주식회사 하드마스크구조물 및 그를 이용한 반도체장치의 미세 패턴 형성 방법
KR102064265B1 (ko) * 2013-06-20 2020-01-09 삼성전자주식회사 패드 형성 방법, 이를 이용한 반도체 장치 제조 방법, 도전성 패드 어레이 및 이를 포함하는 반도체 장치
KR20150049506A (ko) 2013-10-30 2015-05-08 에스케이하이닉스 주식회사 홀 패터닝을 위한 마스크패턴 및 그를 이용한 반도체장치 제조 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8603919B2 (en) * 2011-12-19 2013-12-10 Hynix Semiconductor Inc. Method for fabricating semiconductor device
CN103367258A (zh) * 2012-04-06 2013-10-23 力晶科技股份有限公司 半导体线路结构及其制作工艺

Also Published As

Publication number Publication date
US20200083356A1 (en) 2020-03-12
US20180350957A1 (en) 2018-12-06
KR20170103147A (ko) 2017-09-13
KR102400320B1 (ko) 2022-05-20
US10439048B2 (en) 2019-10-08
CN107154345A (zh) 2017-09-12
US20170256628A1 (en) 2017-09-07
US10050129B2 (en) 2018-08-14

Similar Documents

Publication Publication Date Title
CN107154345B (zh) 光掩模布图以及形成精细图案的方法
KR102320047B1 (ko) 집적회로 소자 및 그 제조 방법
KR102280471B1 (ko) 액티브 패턴들 형성 방법, 액티브 패턴 어레이, 및 반도체 장치 제조 방법
KR102401486B1 (ko) 콘택 구조물을 포함하는 반도체 소자 및 그 제조 방법.
US11139243B2 (en) Semiconductor memory device
US9196609B2 (en) Semiconductor device
US11018141B2 (en) Contacts and method of manufacturing the same
CN110047803B (zh) 制造半导体器件的方法和通过该方法制造的半导体器件
KR20120057794A (ko) 비휘발성 메모리 소자 및 그 제조 방법
TWI713147B (zh) 半導體裝置的形成方法
US8164119B2 (en) Semiconductor device including conductive lines with fine line width and method of fabricating the same
US11251188B2 (en) Semiconductor memory device and a method of fabricating the same
US8574988B2 (en) Method for forming semiconductor device
US9929099B2 (en) Planarized interlayer dielectric with air gap isolation
CN112054027A (zh) 半导体器件
CN106960844B (zh) 半导体元件及其制作方法
US9997525B2 (en) Semiconductor devices and methods of fabricating the same
CN114156268A (zh) 半导体装置
CN102044495A (zh) 制造具有掩埋栅极的半导体器件的方法
TWI781559B (zh) 半導體裝置
CN115513207A (zh) 半导体存储器件
CN106992178B (zh) 存储器元件及其制造方法
US9349813B2 (en) Method for fabricating semiconductor device
US20230146151A1 (en) Semiconductor devices
TW202322285A (zh) 半導體結構及其形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant