CN107068585A - 用于原子层沉积的动态前体投配 - Google Patents

用于原子层沉积的动态前体投配 Download PDF

Info

Publication number
CN107068585A
CN107068585A CN201610865153.1A CN201610865153A CN107068585A CN 107068585 A CN107068585 A CN 107068585A CN 201610865153 A CN201610865153 A CN 201610865153A CN 107068585 A CN107068585 A CN 107068585A
Authority
CN
China
Prior art keywords
ampoule
time
valve
precursor
process chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610865153.1A
Other languages
English (en)
Other versions
CN107068585B (zh
Inventor
普鲁肖塔姆·库马尔
阿德里安·拉沃伊
钱俊
康胡
伊时塔克·卡里姆
欧丰松
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN202010730406.0A priority Critical patent/CN112086381A/zh
Publication of CN107068585A publication Critical patent/CN107068585A/zh
Application granted granted Critical
Publication of CN107068585B publication Critical patent/CN107068585B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明涉及用于原子层沉积的动态前体投配。公开了用于控制在半导体加工工具内的前体流的方法和装置。一种方法可以包括:使气体流动通过气体管线;在投配步骤之前,打开一个或多个安瓿阀,以使前体流开始从所述安瓿通过所述气体管线流向处理室;关闭所述一个或多个安瓿阀,以使所述前体停止从所述安瓿流出;在所述投配步骤开始时,打开处理室阀,以使所述前体流能进入所述处理室;以及在所述投配步骤结束时,关闭所述处理室阀,以使所述前体流停止进入所述处理室。控制器可以包括至少一个存储器和至少一个处理器,并且至少一个存储器可以存储用于控制至少一个处理器的指令以控制在半导体加工工具内的前体流。

Description

用于原子层沉积的动态前体投配
技术领域
本发明涉及半导体加工领域,具体涉及用于原子层沉积的动态前体投配。
背景技术
某些半导体制造工艺通过使前体流到晶片上,并且然后通常用等离子体和/或第二前体激活衬底表面上的反应而在半导体晶片上创建膜。在一种这样的工艺,即原子层沉积(“ALD”)中,一种或多种汽化的前体在ALD循环期间作为一个或多个“投配(dose)”步骤的一部分从安瓿流到半导体晶片上。在一些传统的半导体加工工具中,将前体输送至处理室的一些方式导致前体浪费。
发明内容
本公开的系统、方法和设备各自具有若干创新的方面,其中没有任何单一的方面完全负责本文所公开的合乎期望的属性。这些方面中包括至少以下实现方式,但是进一步的实现方式会在详细描述中阐述,或者相对于在此提供的讨论是显而易见的。
在一些实现方式中,提供了一种用于控制在半导体加工工具内的前体流的方法。该方法可以包括:(a)使气体流通过气体管线;(b)在投配(dose)步骤之前,打开安瓿的一个或多个阀,以使前体流开始从所述安瓿通过所述气体管线流向处理室;(c)关闭所述安瓿的一个或多个阀,以使所述前体停止从所述安瓿流出;(d)在所述投配步骤开始时,打开处理室阀,以使所述前体流能进入所述处理室;以及(e)在所述投配步骤结束时,关闭所述处理室阀,以使所述前体流停止进入所述处理室。
在一些进一步的实现方式中,在(b)中打开安瓿的一个或多个阀可以发生在所述投配步骤前基本上等于管线填充时间的时间量时,其中,所述管线填充时间为前体从所述安瓿流到所述处理室所需要的时间。
在一些进一步的实现方式中,在(c)中关闭安瓿的一个或多个阀可以发生在(e)中的所述关闭所述处理室阀前基本上等于管线填充时间的时间量时,其中,所述管线填充时间为前体从所述安瓿流到所述处理室所需要的时间。
在一些进一步的实现方式中,在(d)中打开处理室阀可以发生在(b)中打开所述安瓿的所述阀后可以基本上等于管线填充时间的时间量时,其中,所述管线填充时间为前体从所述安瓿流到所述处理室所需要的时间。
在一些进一步的实现方式中,在(e)中关闭所述处理室阀可以发生在(c)中关闭所述安瓿的一个或多个阀后可以基本上等于管线填充时间的时间量时,其中,所述管线填充时间为前体从所述安瓿流到所述处理室所需要的时间。
在一些进一步的实现方式中,在(c)中关闭所述安瓿的一个或多个流量阀可以发生在(b)中打开所述安瓿的流量阀后可以基本上等于吸附时间的时间量时。
在一些进一步的实现方式中,在(e)中关闭所述处理室阀可以发生在(d)中打开所述处理室阀后可以基本上等于所述吸附时间的时间量时。
在一些这样的实现方式中,在(b)中打开安瓿的一个或多个阀可以发生在所述投配步骤开始前可以基本上等于管线填充时间的时间量时,其中,所述管线填充时间为前体从所述安瓿流到所述处理室所需要的时间;在(c)中关闭所述安瓿的一个或多个流量阀可以发生在(b)中打开所述安瓿的所述流量阀后可以基本上等于吸附时间的时间量时;在(d)中打开处理室阀可以发生在(b)中打开所述安瓿的所述阀后可以基本上等于所述管线填充时间的时间量时;以及在(e)中关闭所述处理室阀可以发生在(d)中打开所述处理室阀后可以基本上等于所述吸附时间的时间量时。
在一些进一步的这样的实现方式中,所述吸附时间可以是:小于所述管线填充时间的时间、大于所述管线填充时间的时间、或者等于所述管线填充时间的时间。
在一些进一步的实现方式中,所述安瓿的所述一个或多个阀可以在所述投配步骤之前打开,以使所述前体在所述投配步骤开始时到达所述处理室。
在一些进一步的实现方式中,所述安瓿的所述一个或多个阀可以在所述投配步骤之前打开,以使所述前体在所述投配步骤开始时至少部分地填充所述气体管线。
在一些进一步的实现方式中,所述安瓿的所述一个或多个阀可以在所述投配步骤结束之前关闭,以使在所述投配步骤结束时基本上没有前体保留在所述气体管线内。
在一些进一步的实现方式中,所述安瓿的所述一个或多个阀可以在一定量的前体处于所述气体管线内之后关闭,以实现所期望的在晶片上的吸附。
在一些进一步的实现方式中,所述处理室的所述阀可以在所期望的在晶片上的吸附已经出现后关闭。
在一些进一步的实现方式中,所述处理室阀的所述阀可以在基本上没有前体保留在所述气体管线内时关闭。
在一些进一步的实现方式中,所述方法还包括:确定管线填充时间,其中,所述管线填充时间是前体从所述安瓿流到所述处理室所需的时间。
在一些实施方式中,可以提供一种用于包括前体输送系统的半导体加工工具的控制器。所述控制器可以包括:至少一个存储器;和至少一个处理器,其可以与所述至少一个存储器通信地连接并被配置成与所述半导体处理工具以及所述前体输送系统通信地连接。所述至少一个存储器可以存储用于控制所述至少一个处理器的计算机可执行指令以:使气体流通过气体管线;在投配步骤之前,打开安瓿的一个或多个阀,以使前体流开始从所述安瓿通过所述气体管线流向处理室;关闭所述安瓿的一个或多个阀,以使所述前体停止从所述安瓿流出;在所述投配步骤开始时,打开处理室阀,以使所述前体流能进入所述处理室;以及在所述投配步骤结束时,关闭所述处理室阀,以使所述前体流停止进入所述处理室。
在一些实施方式中,所述存储器还可以存储用于控制所述至少一个处理器的计算机可执行指令以在所述投配步骤之前打开所述安瓿的所述一个或多个阀,以使所述前体在所述投配步骤开始时到达所述处理室。
在一些实施方式中,所述存储器还可以存储用于控制所述至少一个处理器的计算机可执行指令以在所述投配步骤之前关闭所述安瓿的所述一个或多个阀,以使得在所述投配步骤结束时基本上没有前体保留在所述气体管线内。
在一些实施方式中,所述存储器还可以存储用于控制所述至少一个处理器的计算机可执行指令以在一定量的前体处于所述气体管线内之后关闭所述安瓿的所述一个或多个阀,以实现所期望的在晶片上的吸附。
具体而言,本发明的一些方面可以阐述如下:
1.一种用于控制在半导体加工工具内的前体流的方法,该方法包括:
(a)使气体流动通过气体管线;
(b)在投配步骤之前,打开安瓿的一个或多个阀,以使前体流开始从所述安瓿通过所述气体管线流向处理室;
(c)关闭所述安瓿的一个或多个阀,以使所述前体停止从所述安瓿流出;
(d)在所述投配步骤开始时,打开处理室阀,以使所述前体流能进入所述处理室;以及
(e)在所述投配步骤结束时,关闭所述处理室阀,以使所述前体流停止进入所述处理室。
2.根据条款1所述的方法,其中在(b)中打开安瓿的一个或多个阀发生在所述投配步骤前基本上等于管线填充时间的时间量时,其中,所述管线填充时间为前体从所述安瓿流到所述处理室所需要的时间。
3.根据条款1所述的方法,其中在(c)中关闭所述安瓿的一个或多个阀发生在(e)中的所述关闭所述处理室阀前基本上等于管线填充时间的时间量时,其中,所述管线填充时间为前体从所述安瓿流到所述处理室所需要的时间。
4.根据条款1所述的方法,其中在(d)中打开所述处理室阀发生在(b)中打开所述安瓿的所述阀后基本上等于管线填充时间的时间量时,其中,所述管线填充时间为前体从所述安瓿流到所述处理室所需要的时间。
5.根据条款1所述的方法,其中在(e)中关闭所述处理室阀发生在(c)中关闭所述安瓿的所述一个或多个阀后基本上等于管线填充时间的时间量时,其中,所述管线填充时间为前体从所述安瓿流到所述处理室所需要的时间。
6.根据条款1、2、4和5中的任一项所述的方法,其中在(c)中关闭所述安瓿的所述一个或多个流量阀发生在(b)中打开所述安瓿的流量阀后基本上等于吸附时间的时间量时。
7.根据条款1-4中的任一项所述的方法,其中在(e)中关闭所述处理室阀发生在(d)中打开所述处理室阀后基本上等于所述吸附时间的时间量时。
8.根据条款1所述的方法,其中:
在(b)中打开安瓿的一个或多个阀发生在所述投配步骤开始前基本上等于管线填充时间的时间量时,其中,所述管线填充时间为前体从所述安瓿流到所述处理室所需要的时间;
在(c)中关闭所述安瓿的所述一个或多个流量阀发生在(b)中打开所述安瓿的所述流量阀后基本上等于吸附时间的时间量时;
在(d)中打开处理室阀发生在(b)中打开所述安瓿的所述阀后基本上等于所述管线填充时间的时间量时;以及
在(e)中关闭所述处理室阀发生在(d)中打开所述处理室阀后基本上等于所述吸附时间的时间量时。
9.根据条款8所述的方法,其中所述吸附时间选自由以下构成的组:小于所述管线填充时间的时间、大于所述管线填充时间的时间、以及等于所述管线填充时间的时间。
10.根据条款1、3、4和5中的任一项所述的方法,其中所述安瓿的所述一个或多个阀在所述投配步骤之前打开,以使所述前体在所述投配步骤开始时到达所述处理室。
11.根据条款1、3、4和5中的任一项所述的方法,其中所述安瓿的所述一个或多个阀在所述投配步骤之前打开,以使所述前体在所述投配步骤开始时至少部分地填充所述气体管线。
12.根据条款1、2、4和5中的任一项所述的方法,其中所述安瓿的所述一个或多个阀在所述投配步骤结束之前关闭,以使在所述投配步骤结束时基本上没有前体保留在所述气体管线内。
13.根据条款1、2、4和5中的任一项所述的方法,其中所述安瓿的所述一个或多个阀在一定量的前体处于所述气体管线内之后关闭,以实现所期望的在晶片上的吸附。
14.根据条款1-4中任意一项所述的方法,其中所述处理室阀在所期望的在晶片上的吸附已经发生后关闭。
15.根据条款1-4中任意一项所述的方法,其中所述处理室阀在基本上没有前体保留在所述气体管线内时关闭。
16.根据条款1-5中任意一项所述的方法,其还包括:
确定管线填充时间,其中,所述管线填充时间是前体从所述安瓿流到所述处理室所需的时间。
17.一种用于包括前体输送系统的半导体加工工具的控制器,所述控制器包括:
至少一个存储器;和
至少一个处理器,其与所述至少一个存储器通信地连接并被配置成与所述半导体加工工具以及所述前体输送系统通信地连接,其中,所述至少一个存储器存储用于控制所述至少一个处理器的计算机可执行指令以:
使气体流通过气体管线;
在投配步骤之前,打开安瓿的一个或多个阀,以使前体流开始从所述安瓿通过所述气体管线流向处理室;
关闭所述安瓿的所述一个或多个阀,以使所述前体停止从所述安瓿流出;
在所述投配步骤开始时,打开处理室阀,以使所述前体流能进入所述处理室;以及
在所述投配步骤结束时,关闭所述处理室阀,以使所述前体流停止进入所述处理室。
18.根据条款17所述的控制器,其中,所述存储器还存储用于控制所述至少一个处理器的计算机可执行指令以:
在所述投配步骤之前打开所述安瓿的所述一个或多个阀,以使所述前体在所述投配步骤开始时到达所述处理室。
19.根据条款17或18所述的控制器,其中,所述存储器还存储用于控制所述至少一个处理器的计算机可执行指令以:
在所述投配步骤之前关闭所述安瓿的所述一个或多个阀,以使得在所述投配步骤结束时基本上没有前体保留在所述气体管线内。
20.根据条款17或18所述的控制器,其中,所述存储器还存储用于控制所述至少一个处理器的计算机可执行指令以:
在一定量的前体处于所述气体管线内之后关闭所述安瓿的所述一个或多个阀,以实现所期望的在晶片上的吸附。
21.一种前体输送系统,该系统包括:
安瓿;
多个气体管线,其用于与所述安瓿以及处理室流体连接;
多个阀,其被配置成控制通过至少所述多个气体管线进出所述安瓿以及进入所述处理室的流体流动;以及
用于控制在所述前体输送系统中的流体流动的控制器,所述控制器包括控制逻辑以:
使气体流通过气体管线;
在投配步骤之前,打开安瓿的一个或多个阀,以使前体流开始从所述安瓿通过所述气体管线流向处理室;
关闭所述安瓿的所述一个或多个阀,以使所述前体停止从所述安瓿流出;
在所述投配步骤开始时,打开处理室阀,以使所述前体流能进入所述处理室;以及
在所述投配步骤结束时,关闭所述处理室阀,以使所述前体流停止进入
所述处理室。
附图说明
图1描绘了一个示例性前体输送系统的示意图。
图2描绘了图1中的示例性前体输送系统的气体管线区段的一个示例。
图3描绘了可以被用于确定管线填充时间的示例性实验的图形表示。
图4描绘了当吸附时间可以小于管线填充时间时,前体输送系统的第一示例性实现方式的阀的操作和定时的图形表示。
图5描绘了在前体加载结束时的第一示例性实现方式的前体输送系统。
图6描绘了在投配步骤开始时的第一示例性实现方式的前体输送系统。
图7描绘了当吸附时间可以大于管线填充时间时,前体输送系统的第二示例性实现方式的阀的操作和定时的图形表示。
图8描绘了在投配步骤开始时第二示例性实现方式的前体输送系统。
图9描绘了在安瓿阀关闭时第二示例性实现方式的前体输送系统。
图10描绘了当吸附时间可以等于管线填充时间时,前体输送系统的第三示例性实现方式的阀的操作和定时的图形表示。
图11描绘了在投配步骤开始时第三示例性实现方式的前体输送系统。
图12描绘了在投配步骤结束时第三示例性实现方式的前体输送系统。
图13描述了表示使用本文所讨论的实现方式可以在至少某些情况下导致前体的使用减少的一些示范数据。
图14描绘了用于包括前体输送系统的半导体加工工具的示例性控制器。
具体实施方式
在下面的描述中,许多具体细节被陈述以便提供对所提供的构思的透彻理解。所提供的构思可以在没有这些具体细节的一些或者全部的情况下实施。在其他情形下,公知的工艺操作没有被详细描述以避免不必要地使所描述的构思难以理解。尽管一些构思将结合具体的实施方式进行描述,但是应当理解,这些实施方式不是意图进行限制。
存在本文所描述和图解的许多构思和实现方式。虽然在此讨论的实现方式的某些特征、属性和优点已被描述和图解,但是应该理解的是,本公开内容的许多其他特征、属性和优点,以及不同和/或类似的实现方式、特征、属性和优点根据描述和图解是显而易见的。这样,上述实现方式仅是示例性的。它们不是意在穷举的,也不意在将本公开限制在所公开的精确形式、技术、材料和/或配置。许多修改和变化方案根据本公开是可行的。应该理解的是,其他实现方式可以被利用,并且在不脱离本公开的范围的情况下可以进行操作上的改变。这样,本公开的范围并不仅受限于上面的描述,因为上述实现方式的描述已被提供用于图解和描述的目的。
本发明既不受限于任何单个方面,也不受限于实现方式,也不受限于这些方面和/或实现方式的任何单一组合和/或变换。此外,本公开的方面中的每一个和/或其实现方式可以单独使用或与其它方面中的一个或多个方面和/或其实现方式结合使用。为简单起见,这些变换和组合中的许多将不再在本文单独讨论和/或图解。
本文公开的是用于在某些半导体制造工艺期间改善前体向处理室的输送的方法和装置,这些半导体制造工艺在半导体衬底或晶片上使用膜沉积,其中一些可能涉及多个膜沉积循环,每个循环生产“单个的”(“discrete”)膜厚度。原子层沉积(“ALD”)是一种这样的膜沉积方法,但铺放(put down)一个或多个薄膜层的任何技术,包括以重复性的顺序方式使用的那些技术,以及可以被看作是涉及多个沉积循环的任何技术,可适用于本公开。在半导体晶片上沉积薄膜的某些实现方式可以涉及循环的工艺,循环的工艺可以包括在晶片表面上的两个半反应。第一个半反应可以包括在晶片表面上吸附一种或多种前体,第二个半反应可以包括将所吸附的前体或多个前体转变为膜或层。
例如,ALD是膜形成技术,由于单个循环的ALD只沉积单个薄的材料层这样的事实,因此其非常适合于共形膜的沉积,厚度受限于在膜形成化学反应本身之前可吸附在衬底表面(即,形成吸附限制层)上的一种或多种膜前体反应物的量。然后多个“ALD循环”可以用于建立期望厚度的膜,并且由于每一层是薄的且共形的,因此所得膜基本上与下伏的器件结构的形状共形。在某些实现方式中,每个ALD循环包括下列步骤:(1)使衬底表面暴露于第一前体,(2)清扫其中放置衬底的反应室,(3)激活衬底表面的通常与等离子体和/或第二前体的反应,以及(4)清扫其中放置衬底的反应室。这里所列出的第一个步骤可以称为“投配步骤”,第二步骤可以称为“清扫步骤”,第三步骤可以称为“射频(RF)步骤”,并且第四步骤可以称为“射频清扫步骤”。
使用前体的半导体处理的一些实现方式可以包括硬件,并且可以执行方法,以传输所述前体至处理室。在半导体处理中的某些实现方式中,液体前体在被运输和/或沉积在半导体晶片上之前可能需要被蒸发,即汽化。液体前体可以包含在安瓿和载气中,载气可以是惰性气体(如氩气)、非惰性气体(如氧气)、或非惰性气体混合物(如氧气和氩气),可流过安瓿以携带蒸发的前体至半导体加工室。载气可以“被推送”(其中,迫使气体通过管线)或“被拉动”(其中气体(可能通过真空)被拉动通过管线)通过安瓿以携带蒸发的前体。整个本公开中,术语“前体”可以被用于描述处于液态和气态两种状态的前体。
图1描绘了一示例性的前体输送系统的示意性表示。如可以看到的,示例性前体输送系统100包括连接到一系列管道和阀且可以使流体(例如液体和/或气体)流动通过的流量控制器102、安瓿104、混合组件126、以及处理室128。在一般情况下,流体流的方向可以是从图1的右侧到图1的左侧,通过三个白色箭头表示这种这样的总体方向。例如,流量控制器102可以被考虑置于安瓿104以及混合组件126的上游。在图1中,示例性前体输送系统100的气体管线和/或管道被描绘为管线(其例如可以是实线、短划线、或点线),而阀被描述为“蝶形结”(例如,两个相对的三角形);打开的阀没有被添加阴影,而关闭的阀被添加阴影。在图1中所有的阀被示出为打开状态。
流量控制器102连接在阀106的下游和系统的其余部分的上游。推送气体或其他流体可流进系统,并且可以流动通过流量控制器102。在一些实现方式中,流量控制器102控制推送气体或其它流体的流动。流量控制器102在阀108的上游,阀108在阀110的上游,在阀110之后有阀112和阀114之间的接点。安瓿104包括两个阀,即阀114和阀116,它们可使气体能流动进入并且/或者离开安瓿104。阀112是旁通阀,其允许气体绕过安瓿104,或避开安瓿104,包括当阀114和/或116可能关闭时。在阀116和112之间是另一个连接点,在该连接点之后,例如在下游,是阀118和阀120,随后是混合组件126。在混合组件126的下游是介于阀122和124之间的第三连接点。阀124流向不会流入处理室128的前级管线130,而阀122允许流至处理室128。针对本公开的目的,术语“气体管线区段”和/或“气体管线”可用于描述示例性前体输送系统的一个或多个部分,该一个或多个部分可将安瓿104连接到混合组件126,并且可以包括阀116、118和120。在一些实施方式中,气体管线区段还可以被认为包括混合组件126和阀122之间的管线,和/或阀122和处理室128之间的管线。图2描绘了图1中的示例性前体输送系统的示例性气体管线区段。如可以看出的,该气体管线区段包括从安瓿104到处理室128的气体管线。
针对本公开的目的,术语“安瓿阀114/116”和/或“安瓿阀”可以被用来描述阀116和114,使得当“安瓿阀114/116”是打开的时,阀114和116是打开的,并且前体可以流出安瓿并进入气体管线区段中,并且当“安瓿阀114/116”关闭时,阀116和114关闭,使得没有前体可以流入气体管线区段。在一些配置中,当“安瓿阀114/116”可以打开时,阀112因此可以关闭;同样地,当“安瓿阀114/116”可以关闭时,阀112可以打开。类似地,阀122可以被认为是“处理室阀122”,因为它可以允许或阻止流进入处理室128,而阀124可以被认为是“前级管线阀124”,因为它可以允许或阻止流进入前级管线130。阀112可以被认为是“旁通阀112”,因为它允许或准许流体流入安瓿104或避开或绕过安瓿104。
一些其他的前体输送系统可具有一些不同的元件和/或配置,但是这里所讨论的实现方式仍然可以应用于这样的其他系统。前体输送系统,诸如在图1中所描绘的,可以是半导体加工工具的一部分,并且可以连接到一个或多个处理室。为了示范的目的,在此讨论的前体输送系统包括一个处理室,但在一些实现方式中,它可以包括一个以上的处理室。
前体输送系统可以以许多方法实施,以提供前体至处理室128。在一些实现方式中,当例如通过流量控制器102使推送气体(例如惰性气体,如氩气,或非惰性气体,如氧气)流动通过打开的阀108、110和114流到安瓿104内时,可以使前体流入前体输送系统。在这种情况下,阀112可以关闭。在安瓿104中的汽化的前体可以由推送气体推出安瓿104并通过打开的阀116和118进入混合组件126内。在一些这样的情况下,可以使前体流动通过打开的阀122进入处理室128内,在该过程中,阀112和124可以关闭。
汽化的前体从安瓿104流至处理室128所花的时间可以被认为是“管线填充时间”。然而,管线填充时间也可以认为是前体从安瓿104流至其他的可能在处理室128的上游的位置(例如在处理室阀122处)所花的时间。气体管线填充可被认为是加载前体到气体管线内的过程,使得部分填充的气体管线是可以包含一些但不是所有的前体的气体管线,而完全填充的气体管线可包含基本上所有的前体。晶片吸附一定量或一定百分比的前体所花的时间可以被认为是“吸附时间”。该吸附时间可以是饱和时间、比饱和时间短的时间或者比饱和时间长的时间。饱和时间是工件(例如晶片或衬底)表面的前体充分饱和所需的时间。饱和可被视为额外的前体不能被吸附到工件表面上也不能以其他方式与工件表面在物理上和/或化学上相关联的程度(point)。
现在将讨论前体输送的一些目前的一般的实现方式。在一种目前的一般的实现方式中,在ALD循环的一个以上的步骤中,例如通过流量控制器102,可以使推送气体连续流进安瓿104内,使得汽化的前体在安瓿104下游连续流动,但是,该连续流动的前体在不期望前体被传送到处理室128的步骤期间被转向而远离处理室128,而在处理室128中需要前体时,例如,在投配步骤期间,该连续流动的前体被引导进入处理室128内。例如,在不将前体传送到处理室128的步骤中,例如在RF步骤期间,阀122可以关闭,阀124可以打开,由此前体转向至前级管线130;相反地,当期望前体在处理室128中时,阀124可以关闭,而阀122可以打开。然而,这种连续流动的汽化的前体存在许多缺点,包括但不限于,大量前体的使用和/或前体的浪费。
在一些其他的目前的一般的实现方式中,安瓿阀的激活可受缚于(例如耦合至,和/或依赖于)在此处理中的步骤的开始和/或停止。例如,安瓿阀114/116可在投配步骤或RF清扫步骤开始时打开,并且安瓿阀114/116可在投配步骤结束时关闭。在一些这样的实现方式中,从安瓿104流向处理室128的前体流可以在处理步骤(例如RF清扫步骤)开始的同时开始,并且前体流可以在投配步骤结束时停止。这种方法有许多缺点,包括但不限于前体的浪费和/或额外时间的使用(其会减缓晶片的吞吐)。
例如,在使前体于投配步骤开始时从安瓿104流动到处理室128的一些目前的实现方式中,总投配步骤时间至少包括管线填充时间加上吸附时间。此外,在该投配步骤之后,前体可保留在安瓿104和处理室128之间的气体管线区段内,其可以被称为“塞料(slug)”。该塞料可远离处理室128而转向(即,“倾倒”)至前级管线130,因为如果塞料在以后的晶片处理步骤中使用,则在气体管线区段中会发生冷凝,这可能会导致不希望有的晶片缺陷。该实施例的缺点中的一些尤其包括长的投配时间以及前体的浪费,长的投配时间会减少吞吐量(即,处理效率和总处理时间)。
另一个示例涉及前体在RF清扫步骤开始时开始从安瓿104流动到处理室128,该RF清扫步骤是在投配步骤之前。在RF清扫步骤花费比管线填充时间较少的时间的一些这样的情况下,可以使RF清扫步骤维持比所必要的时间长久,以使前体能到达处理室128,这可能不利地影响吞吐量、晶片的均匀性,并且可能浪费在RF清扫步骤中使用的其他材料。在RF清扫步骤花费比管线填充时间较多的时间的一些其他情况下,前体可以在RF清扫步骤结束之前到达处理室128,这可能要求一些前体转向远离处理室128并被抛弃,从而浪费前体。另外,如以上所讨论的,该投配步骤完成后,前体可保留在安瓿和处理室128之间的气体管线内,并且可能被抛弃,从而浪费额外的前体。
在这样的目前的示例性实现方式,以及本文没有讨论的其他的目前的示例性实现方式中,所述前体输送系统没有被配置成:优化管线填充时间和投配时间,同时降低前体的使用。这样的系统有显著的缺点,包括但不限于,不利地影响管线填充时间、投配步骤时间、产量、并导致晶片缺陷。
本发明的发明人已经研发出了新的方法、实现方式、装置和技术,以在半导体处理中动态地提供和有效地利用前体,同时实现低的缺陷率。在一些实现方式中,安瓿阀114/116的打开和关闭可以如上所述与处理步骤的开始和/或停止的发生解耦,以使得安瓿阀114/116可以独立于处理步骤的开始和停止而打开和/或关闭。在一些这样的实现方式中,安瓿阀114/116的操作可以独立于在处理室中的ALD步骤。在一些实现方式中,前体也可以不连续地流动。如下面更详细讨论的,为了在投配步骤开始时输送所期望的量的前体到处理室中,本发明的实现方式和技术尤其可以调整:(A)安瓿阀114/116打开的持续时间和/或(B)在投配步骤之前安瓿阀114/116打开的时间。
例如,使用图1,安瓿阀114/116可在投配步骤之前特定的时间打开,以在投配步骤之前填充或部分填充气体管线区段,使得前体在投配步骤开始时到达处理室128。在投配步骤之前安瓿阀114/116可以打开的时间可尤其取决于:前体输送系统和/或半导体加工工具的硬件;一个或多个工艺参数,诸如,例如,推送气体流量,安瓿温度,和/或顶部空间压力;气相管线填充时间;和/或期望的吸附时间。安瓿阀114/116可以保持打开特定的时间,以便从安瓿104吸取(即加载)适当的和/或所期望的量的前体,以用于所期望的量的晶片吸附和/或气体管线区段内所需的前体的量。
安瓿阀114/116也可以在适当的和/或所期望的量的前体已经流出安瓿104后关闭。在一些实现方式中,前体的所期望的量可以是足以用于晶片的饱和、用于比饱和较少的吸附,或比对于期望的吸附和/或饱和可能需要的更多;该量可以是可变的,可以在循环之间变化,和/或可以通过用户控制。在前体的全部或大部分流入处理室128内之后,投配步骤可以结束,例如处理室阀122可以关闭,使得在所述投配步骤结束时,所期望的量的吸附已发生并且基本上没有前体保留在气体管线区段中。在一些这样的实现方式中,可以有连续的推送气体流,例如在循环过程不会停止和/或在安瓿阀114/116打开之前会开始并且在处理室阀122关闭后会停止的流。
在新的实现方式中的一些中,阀的定时可以关联于某些沉积操作的开始和/或停止,例如ALD投配步骤的开始和停止。在打开安瓿阀114/116和投配步骤的开始之间可能相当于(或基于)气体管线填充时间(如以上所讨论的,其可以是气体从安瓿104流至处理室128的入口所需的时间)。此外,安瓿阀114/116可以保持打开,持续相当于(或基于)满足用于吸附前体到工件表面的工艺要求所需要的时间(如上面所讨论的,其可以是饱和时间或饱和时间的某一百分比)的时间。
如上面提到的,安瓿阀114/116打开的时间和/或安瓿阀114/116被打开的持续时间可取决于吸附时间和/或管线填充时间。管线填充时间可以由许多不同的方法来确定。图3描绘了可以被用于确定管线填充时间的示例性实验的图形表示。图3尤其包括这种示例性实验的参数、数据和结果中的一些。如可以看到的,整个投配步骤332被描绘,x轴为以秒为单位的投配时间,y轴为沉积速率。RF清扫步骤334示于图3的左侧,表示这样的步骤可以在投配步骤332之前进行,而清扫步骤336示于图3的右侧,表示这样的步骤可以在投配步骤332之后进行。在这样的实验中,测量晶片的沉积速率以改变投配步骤332的持续时间,以便确定管线填充时间和吸附时间(在这种情况下,测量饱和时间)。图3的投配步骤332具有三个部分,第一部分是可以发生在大致点344和大致点346之间的管线填充时间338,第二部分是可以发生在大致点346和大致点348之间的吸附时间340(其可以是饱和时间),并且第三部分是可以发生在大致点348和大致点350之间的后饱和342。
在通过图3描绘的并参照图1中的示例性前体输送系统的实验中,紧接在投配步骤332开始之前,将安瓿阀114/116关闭,将阀106、108、110、112、118、120和124打开,并且将阀122关闭,使得没有前体从安瓿104中流动,但推送气体流经系统并通过阀112而绕开安瓿104。在投配步骤332的时间零时,即在点344处,安瓿阀114/116打开,阀112关闭,以开始使前体从安瓿104流到处理室128。如可在图3中看到的,沉积速率维持在大约零直至由点346表示的约0.16秒处,当沉积开始时,这意味着前体到达处理室128,并开始在晶片上沉积前体,从而得到约0.16s的管线填充时间338。图3中的投配阶段332的第二部分,即吸附时间340,描绘了晶片达到所期望的吸附的时间,在这里所期望的吸附是饱和,此后没有进一步的材料被晶片吸附,并且在投配步骤332开始之后约0.3秒时(即,在点348处)发生。因此,对于本示例,饱和时间为约0.15秒。在投配阶段332的第三部分期间,即后饱和342,前体流入处理室128,但没有发生进一步的吸附。
在图3所示的该示例中,管线填充时间(约0.16秒)与吸附(例如饱和)时间(约0.15秒)是大致相同的。基于这些发现,本发明人确定,在某些情况下,在完全或基本上填充的管线中的蒸气(例如在从大致阀116至大致处理室128的气体管线区段内的前体)可传输对于所期望的吸附和/或饱和可能需要的前体的全部或大部分。然而,在可以使用不同的工艺条件的一些其他实施方式中,这可能不是真实的。此外,本发明人确定管线填充可以精确地开始,以避免或减少前体浪费,并且例如从安瓿104进入气体管线区段的前体流可以在投配步骤结束前例如通过关闭安瓿阀114/116停止,使得已经填充前体的气体管线区段可以用于剩余的投配步骤,和/或所述前体流可与室中的ALD步骤解耦。
在一些实现方式中,可以执行如上所述的测量步骤以确定循环、步骤或处理的管线填充时间和/或饱和/吸附时间。然后可以使用这样的信息以便例如相对于ALD工艺的投配步骤确定安瓿阀打开和关闭的定时和持续时间。在一些实现方式中,该测量可以在ALD循环之前、期间和/或之后进行,并且可以在整个循环、步骤和/或半导体生产过程中重复。
现在将讨论展示安瓿阀的打开和关闭相对于投配步骤和处理室阀的打开和关闭的定时的一些示例性实现方式。如上所述,安瓿阀打开和/或关闭的时间可取决于吸附时间和/或管线填充时间。讨论了三种示例性的情况,其涉及:吸附时间可以少于管线填充时间,吸附时间可以多于管线填充时间,以及吸附时间可以基本上等于管线填充时间。在讨论这些示例性的实现方式中,使用图1的相同的示例性前体输送系统。然而,这些示例性的实现方式不受限于图1的前体输送系统;它们可应用于任何半导体加工工具的任何前体输送系统。此外,在这样的示例性的实现方式中,推送气体可以连续地流动通过系统。
在第一示例性实现方式中,吸附时间可以少于管线填充时间。图4描绘了当吸附时间可以小于管线填充时间时,前体输送系统的第一示例性的实现方式的阀的操作和定时的图形表示。在图4所描绘的图表中,时间是在x轴并且从左至右推移,但没有具体的单位,因为单位会随着许多因素的不同而变化,这些因素包括但不限于,处理步骤、前体、推送气体、以及工艺条件;Y轴包含阀的操作,也就是四个阀的“打开”或“关闭”,四个阀包括处理室阀122、前级管线阀124、安瓿阀114/116、和旁通阀112。如可以看出的,在任何前体加载到系统中前,即第一时间点452前,处理室阀122关闭,前级管线阀124打开,安瓿阀114/116关闭,而旁通阀打开,从而可以使推送气体尤其能从流量控制器102流动通过旁通阀112,不进入安瓿104,但进入混合组件126,并通过前级管线130。
在图4中的大致第一时间点452处,安瓿阀114/116打开,旁通阀112关闭,从而使推送气体能流入安瓿104并且使前体开始流入系统。在此示例性实现方式中,安瓿阀114/116可以在处理室阀122打开前打开,并且它可以是打开持续等于或基本上等于吸附时间460的时间段的时间段。安瓿阀114/116可在大致第二时间点454处关闭,第二时间点454也可以是在处理室阀122打开之前。在图4中,气体管线区段可以在大致第一时间点452和大致第二时间点454之间被加载前体,但在类似图4所示的实现方式的一些这样的实现方式中,在大致第二时间点454处加载结束时,在安瓿104和处理室128之间的气体管线区段可能没有用前体充分地填充和加载。这可以使所期望的量的前体能被送进气体管线区段内和/或被送进处理室128内。
图5描绘了在前体加载结束时的第一示例性实现方式的前体输送系统。参照图4的图表,图5示出了紧接在大致第二时间点454前的示例性前体输送系统100。在图5(以及图6、8、9、11和12)中,由在右下角的插图所表示的,实线表示没有流,点线表示推送气体,并且短划线表示前体。如在图5中可以看出的,气体管线区段(例如,从阀114和116之间的接点处到阀122和124的接点处)包含前体和推送气体两者。在此示例性实现方式中,可被加载到气体管线区段的前体的量可以不完全填充气体管线区段,但对于所期望的吸附或所期望的处理会是足够的。图5还示出了旁通阀112被关闭,从而使得推送气体能进入安瓿104内,并且还示出了关闭的处理室阀122与打开的前级管线阀124,从而使推送气体能转移到前级管线130,而不进入处理室128内。
再参照图4,在大致下一时间点,第三时间点456,投配步骤开始。在大致第二时间点454加载的结束和在大致第三时间点456投配步骤的开始之间,安瓿阀114/116可以关闭,旁通阀112可以打开,前级管线阀124可以打开,并且处理室阀122可以关闭。在一些示例性实现方式中,投配步骤可以在前体加载开始(例如第一时间点452)通过等于或基本上等于管线填充时间462的时间量的时间量之后开始。如前所述,管线填充时间462可被认为是前体从安瓿104行进到处理室128的时间。该定时会造成前体在大致第三时间点456到达处理室128,第三时间点456可以是投配的开始,如投配步骤的开始。
在该第三时间点456的前体输送系统可以在图6看到。图6描绘了在投配步骤开始时的第一示例性实现方式的前体输送系统。如可以看到的,安瓿阀114/116关闭,旁通阀112打开,前级管线阀124关闭,并且处理室阀122打开,所有这些使得气体管线区段中的前体能进入处理室128。
返回参照图4,室阀122可以打开持续可以使得所期望的量的前体能进入处理室128的时间量。该时间量可以等于或大致等于吸附时间460,如图4中所描绘的,吸附时间460可以在大致第三时间点456和大致第四时间点458之间。一旦处理室阀122已经打开持续所期望的量的时间(其可以是吸附时间460),处理室阀122可以关闭,并且前级管线阀124可以打开,如在大致第四时间点458所描绘的;该第四时间点458可以被认为是投配步骤结束。在这样的时刻,在一些实施方式中,可能有很少或没有前体残保留在气体管线区段内。
在第二示例性实现方式中,吸附时间可以大于管线填充时间。图7描绘了当吸附时间可以大于管线填充时间时,前体输送系统的第二示例性实现方式的阀的操作和定时的图形表示。类似于图4中的图表,在图7中,时间是在x轴上并且从左至右推移,而Y轴包含阀的操作,也就是相同的四个阀的“打开”或“关闭”,四个阀包括处理室阀122、前级管线阀124、安瓿阀114/116、和旁通阀112。如可以看出的,在任何前体加载到系统中前,即在第一时间点752前,处理室阀122关闭,前级管线阀124打开,安瓿阀114/116关闭,而旁通阀打开,从而可以使推送气体尤其能从流量控制器102流动通过旁通阀112,不进入安瓿104,但进入混合组件126,并通过前级管线130。
在图7中的大致第一时间点752处,安瓿阀114/116可以打开,而旁通阀112可以关闭,从而使推送气体能流入安瓿104内,并且使前体开始流入系统内。在该第二示例性实现方式中,安瓿阀114/116可以在处理室阀122打开之前打开,并且其可以打开持续等于或基本上等于吸附时间760的时间段的时间段。安瓿阀114/116可以在大致第三时间点756关闭,第三时间点756可以是在处理室阀122在大致第二时间点754处打开之后(这与第一示例性实现方式不同)。在一些这样的实现方式中,安瓿阀V114/116可在前体到达并进入处理室128后保持打开,使得安瓿阀114/116和室阀122都一起打开持续一段时间。
在图7中的大致第二时间点754,投配步骤开始。该第二时间点754可以在第一时间点752经过可以等于或基本等于管线填充时间762的时间量之后出现。如在图7中可见的,气体管线区段可以用前体完全填充,例如从大致安瓿104至大致处理室128的气体管线区段在大致第二时间点754可包含前体。处理室阀122也在或者大致在第二时间点754打开,第二时间点754可以大致为在前体到达处理室阀122时的时间。
图8示出了在投配步骤开始时的第二示例性实现方式的前体输送系统。图8描绘了图7的在大致第二时间点754的该系统。如可以看到的,旁路阀112关闭,前体从安瓿104流动通过打开的处理室阀122并进入处理室128内。
返回参照图7,在大致第二时间点754和大致第三时间点756之间,处理室阀122和安瓿阀114/116都打开。如上所述,在第三时间点756,安瓿阀114/116可以关闭,而处理室阀122可保持打开,并且安瓿阀114/116的这种关闭可以在安瓿阀114/116打开(即在大致第一时间点752处)通过等于或基本上等于吸附时间760的量后进行。如进一步在图7中可以看出,第三时间点756可以在处理室阀122关闭之前等于或基本上等于管线填充时间762的量时发生。
图9描绘了在安瓿阀关闭时的第二示例性实现方式的前体输送系统。图8描绘了图7的在大致第三时间点756的该系统。如可以看到的,安瓿阀114/116关闭,而旁通阀112打开。
在图7中的大致第四时间点758,处理室阀122关闭,而前级管线阀124打开。如上所述,因为安瓿阀114/116可以在处理室阀122关闭之前等于或基本等于管线填充时间762的量时处关闭,所以当处理室阀122关闭时,气体管线区段在第四时间点758可能包含很少或没有前体。
在第三示例性实现方式中,吸附时间可以等于管线填充时间。图10描绘了当吸附时间可以等于管线填充时间时,前体输送系统的第三示例性的实现方式的阀的操作和定时的图形表示。类似于图4和7中的图表,时间是在x轴上并且从左至右推移,而Y轴包含阀的操作,也就是相同的四个阀的“打开”或“关闭”,四个阀包括处理室阀122、前级管线阀124、安瓿阀114/116、和旁通阀112。如可以看出的,在任何前体加载到系统内前,即在第一时间点1052前,处理室阀122关闭,前级管线阀124打开,安瓿阀114/116关闭,而旁通阀打开,从而可以使推送气体尤其能从流量控制器102流动通过旁通阀112,不进入安瓿104,但进入混合组件126,并通过前级管线130。
在图10中的第一时间点1052,安瓿阀114/116可以打开,旁通阀112可以关闭,从而使推送气体能流入安瓿104内,并且使前体开始流入系统内。在该第三示例性实现方式中,安瓿阀114/116可以在处理室阀122打开之前打开,并且其可以打开持续等于或基本上等于吸附时间1060的时间段的时间段,吸附时间1060和管线填充时间1062可以相同。图10示出这个:安瓿阀114/116打开持续等于或基本等于吸附时间1060的时间量,吸附时间1060等于或基本等于管线填充时间1062。
两个事件在或大致在第二时间点1054发生。如上面所提到的,安瓿阀114/116可以在或大致在第二时间点1054关闭,而室阀122可以在或大致在第二时间点1054打开。图11描绘了在投配步骤开始时的第三示例性实现方式的前体输送系统。图11描绘了在图10的大致第二时间点1054处的该系统。如可以看出的,旁通阀112关闭,并且前体从安瓿104流动通过打开的处理室阀122并进入处理室128内。
与安瓿阀114/116类似,室阀122可保持打开持续等于或基本等于吸附时间1060和管线填充时间1062的时间量,吸附时间1060和管线填充时间1062彼此相等。此外,该室阀122可以在大致第三时间点1056关闭。在这样的实现方式中,气体管线区段在投配步骤于大致第二个时间点1054处开始前被充分填充。图12描绘了在投配步骤结束时的第三示例性实现方式的前体输送系统。图12描绘了在图10的大致第三时间点1056处的该系统。如可以看出的,旁通阀112打开,安瓿阀114/116关闭,处理室阀122关闭,并且前级管线阀124打开,使得推送气体能流经该系统,并通过前级管线130。在该投配步骤结束时,如在时刻1056,所有或基本上所有的前体从气体管线区段排出。
在该第三示例性实现方式中,第一时间点1052可被认为是前体加载到系统内的开始,时间点1054可以被认为是前体加载的结束和投配步骤的开始这两者,而时间点1056可以被认为是投配步骤的结束。
在所有的这样的实现方式中,推送气体可以连续流动。这种连续流动可以确保前体的连续流动以及气体管线的连续清扫。当安瓿阀114/116关闭时,推送气体可以绕过安瓿,通过旁通阀112,使得推送气体继续朝处理室128流动。
在一些实现方式中,气体管线区段可以在投配步骤结束时基本上排出所有的前体,而在一些实现方式中,一些前体可以保留在气体管线区段内。
在一些实现方式中,安瓿阀114/116可以被打开的持续时间可以比饱和时间和/或吸附时间较多或较少。
如以上所讨论的,在一些实现方式中,阀的操作的定时可以使用管线填充时间、吸附时间或其它一些时间设置。此外,对于阀的操作的参照系可以是投配步骤的开始、投配步骤的停止、投配步骤期间的任何其它事件、和/或ALD循环期间的任何事件。
在包括上面讨论的三种示例性实现方式的一些实现方式中,一定量的前体可被发送通过气体管线,该前体的量可以比所期望的吸附量所必要的量多。该额外的前体也可被发送,使得其在投配步骤开始之前、投配步骤之后、和/或所期望的吸附/饱和已经达到之后到达。在一些这样的实施方式中,一些前体可以在投配步骤之前和/或之后堆积到前级管线。在一些这样的实现方式中,安瓿阀可以打开的持续时间、当安瓿阀可打开和/或关闭时的定时、室阀可以打开的持续时间和/或当室阀可以打开时的定时可能不同于如上文所讨论的在图4至图12中所包含的。但是,为了减少浪费,多余的前体可被限制为吸附/饱和所需的量的约20%或更小(或约10%或更小)。
此外,在一些实现方式中,每一个事件(例如,安瓿阀的打开,室阀的关闭)可能无法在预定的时间点精确地发生。另外,在每个时间点的前体的位置可能不如以上所讨论的那么精确。在一些情况下,短语“基本上”已至少相对于定时和量使用了,并且该术语可意指在所引用的项目(例如,时间或量)的±15%内。例如,如果吸附时间为0.1秒,则基本上等于该吸附时间的时间可以是在约0.09秒和约0.11秒之间。
此外,阀的定时可能不完全等于管线填充时间和/或吸附时间。此外,还可能存在导致系统延迟的定时延迟,如打开或关闭阀所花费的时间。在一些实施方式中,可以使用可具有25毫秒的定时延迟的ALD阀。在本文的实现方式中,也可能存在可能也是25毫秒的误差的余量(margin)。
此外,当安瓿阀打开时的定时和/或它的打开的持续时间对于每个处理循环(诸如ALD循环)可能不是恒定的。在一些实现方式中,动态反馈回路可以创建以准确地提供对于每个循环所期望的相同摩尔数的前体/循环,其可以是小于、等于或大于吸附量。如本文中所描述的在流过气相系统中输送的前体的量可取决于几个因素,包括安瓿温度、顶部空间的压力和推送气体流量。如果这些参数中一个或多个偏离沉积期间的整个过程中的预期设定点(例如,由于安瓿中的前体的水平变化),则每个安瓿阀打开时间所输送的前体的量将相应地偏离。反馈回路可通过尤其来自例如安瓿热电偶、压力表、和MFC的传感器数据(例如测量值)以及控制器创建。在一个示例中,压力计(例如,测压计)位于处理室的入口处(例如,通过阀122)。前体到达处理室可以通过由压力计检测到的压力变化来通知。通过基于这样的反馈回路动态地控制安瓿打开/关闭定时,可以使得前体传输更稳健并且在循环间可重复。在投配/沉积步骤期间,在循环内的步骤之间,在循环之间,和/或在处理之间可制造反馈环路、进行测量和/或调整。
如上所述,在一个或多个处理循环之前,测量和/或校准步骤(例如如上所述的并在图3中所示的)可以执行以确定吸附时间和/或管线填充时间。这样的确定可以类似于上面所描述的那样进行,例如根据可以检测影响前体输送和/或处理参数和/或实验步骤的一个或多个因素的传感器或监测设备进行,例如像上面所讨论的那样。收集的信息可以用于对当安瓿阀打开时的定时和/或这样的打开的持续时间(例如当它关闭时)进行调整或改变。这可以在投配步骤之间,在投配步骤期间,在循环之间,或在处理之间进行。
本文所讨论的方法、实施方式和实现方式为半导体处理提供了许多改进和优点。半导体加工的一些重要的目标可以包括,例如,提高晶片产量,减少材料,包括减少前体、废料/使用,以及提高或维持晶片的均匀性。例如,图13描绘了一些示范数据,这些数据表明,使用本文所讨论的实现方式在至少一些情况下会导致减少前体的使用。如可以看到的,在右侧列(图表标示为“化学利用率”)中的结果显示前体从0.323克/晶片减少至0.225克/晶片。最上面一行表示中间和底部行中所示的数据。中间行,标记为“典型的处理”,示出了使用典型的一般的前体投配顺序的特定的半导体工艺的化学品的利用率,而底部行,标记为“新工艺”,示出了相同的半导体工艺但利用至少部分地根据在此讨论的实现方式所述的前体输送的化学品的利用率。底部行显示了对于0.15秒的相同的投配时间,安瓿阀114/116打开时间从0.3秒减少到0.2秒,而不会对沉积速率和膜的均匀性产生不利影响。沉积速率(depR)和NU%(R/2)(半范围非均匀性)使用新的工艺被改善,这可能是由于较长的安瓿阀114/116关闭时间而导致在安瓿104内的改善的前体的更新速率。较短的安瓿阀114/116打开时间导致前体消费减少。新工艺减少了前体的浪费。
本发明的一些其它优点包括,但不限于,前体的浪费减少;晶片的缺陷减少,这可以通过消除停滞气体管线体积,通过具有可能是由于不断流动的推送气体而导致的充分清扫的气体管线区段,和/或通过在前体的存在下室阀的操作的消除而导致;和/或投配时间和/或投配步骤的减少,由此提高产量。
在一些实现方案中,控制器是系统的一部分,该系统可以是本文所述的示例的一部分。控制器可以包括“逻辑”,如安瓿阀或多个安瓿阀的打开和关闭逻辑,如上所述,或本文所讨论的其他控制逻辑。逻辑可以被实现为:软件(具有或不具有用于执行软件指令的相关联的硬件),被设计或配置为执行某些操作的硬件,以及它们的组合。这种系统可以包括半导体加工设备,包括一个或多个加工工具、一个或多个加工室、用于加工的一个或多个平台和/或具体的加工组件(包括但不限于晶片基座、气流系统、前体输送系统、气体管线、管道、阀、安瓿等)。这些系统可以与用于控制它们在加工半导体晶片或衬底之前、期间和之后的操作的电子器件(例如逻辑)一体化。电子器件(或逻辑)可以称为“控制器”,该控制器可以控制一个或多个系统的各种组件或子部件,包括,但不限于可被配置成控制流体流动通过系统或多个系统的一个或多个部分的阀,其可以包括通过气体管线、安瓿、管道、和/或处理室。
根据加工要求和/或系统的类型,控制器可以被编程、被设计和/或被配置以控制本文公开的任何工艺,包括输送工艺气体、温度设置(例如,加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、再填充安瓿、晶片转移进出工具和其他转移工具、流体(例如前体或者推送气体)流动通过气体管线、安瓿、混合组件、和/或处理室、和/或装载锁与具体系统连接上或通过接口连接。
宽泛地讲,控制器可以定义为具有接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到控制器、定义用于在或针对半导体晶片或系统执行特定过程的操作参数的指令。在一些实现方实中,操作参数可以是由工艺工程师定义的用于在制备一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯期间完成一个或多个加工步骤的配方(recipe)的一部分。
在一些实现方式中,控制器可以是与系统集成、连接上或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器可以在“云端”或者晶片厂(fab)主机系统的全部或一部分,它们可以允许远程访问晶片加工。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前加工的参数,设置加工步骤以接续当前的加工或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该用户界面然后从远程计算机联通到系统。在一些实例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个加工步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及配置控制器来连接或控制的工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室内的一个或多个集成电路。
在非限制的条件下,示例的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体加工系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件(例如前体输送系统)、组合设备工具、其他工具界面、相邻的工具、邻近的工具、位于整个工厂中的工具、主机、另一个控制器、或者将晶片的容器搬运到半导体制造工厂中的工具位置和/或装载口并且从工具位置或装载口搬运晶片的容器的材料搬运中使用的工具通信。
在一些实现方式中,所述控制器可以具有至少一个存储器和一个或多个处理器,并且存储器可以包含用于执行操作本文所讨论的实现方式所必需的功能的指令。在其他实现方式中,控制器包含专门设计的处理器,其可以或可以不在软件指令的控制下操作。控制器可以被配置成控制前体输送系统和/或可以包含半导体处理系统的半导体加工工具的一个或多个方面。图14描绘了用于包括前体输送系统的半导体加工工具的示例性控制器。如可以看到的,控制器1464包括存储器1466和处理器1468,并且所述处理器被能通信地连接到半导体加工工具1470和前体输送系统1400(其是半导体加工工具1470的一部分)。如前所述,存储器1466可以包含用于尤其是控制前体输送系统1400的指令,例如,存储器1466可以包括用于控制一个或多个安瓿阀、安瓿、一个或多个处理室阀的指令、一个或多个前级管线阀、以及前体输送系统的任何其他方面,包括但不限于前体和/或推送气体流动通过该系统。
如上所述,在一些实现方式中,用于前体输送的系统可包括上述部件中的一种或多种,包括但不限于被配置成容纳前体并且成为衬底处理装置(例如,半导体加工工具)的部件的安瓿,流量控制器,管道(例如气体管线、阀、限流器),所述管道可以流体地连接安瓿、流量控制器、混合组件、和处理室,并配置为控制流动通过这样的系统的流体。控制器也可以是该系统的一部分,并且可以被配置为控制该系统的一个或多个方面,包括但不限于如上所述的前体输送系统。
除本发明的上下文中另外有明确要求,否则,在整个说明书和实施方式中,词语“包括”、“包含”以及类似术语将以与排他的或详尽的意义相对的包容性的意义来解释;也就是说,以“包括,但不限于”的意义来解释。使用单数或复数的词也通常分别包括复数或单数。此外,词“本文”、“下文”、“上面”、“下面”以及类似含义的词语指的是作为整体的本申请,而不是本申请的任何特定部分。当参照两个或更多个项目的清单使用词“或”时,该词覆盖了该词的以下所有的解释:清单中的任何项目,清单中的所有项目,以及清单中的项目的任何组合。术语“实现方式”是指本文中所描述的技术和/或方法的实现方式,以及使结构具体化和/或结合本文中所描述的技术和方法的物理对象。
存在许多本文所描述和说明的构思和实现方式。虽然本文讨论的实现方式的某些特征、属性和优点已被描述和说明,但是应该理解的是,本公开内容的许多其他特征、属性和优点,以及不同和/或类似的实现方式、特征、属性和优点相对于所述描述和说明是显而易见的。这样,上述实现方式仅是示例性的。它们不意在穷举,也不意在使本公开受限于所公开的精确形式、技术、材料和/或配置。许多修改和变化方案根据本公开是可能的。应该理解的是,在不脱离本公开的范围的情况下,其他实现方式可以被利用,并且可以进行操作性的改变。这样,本公开的范围并不完全受限于上面的描述,因为上述实现方式的描述是为了说明和描述的目的而提供的。
重要的是,本公开内容既不受限于任何单个的方面也不受限于实现方式,也不受限于这些方面和/或实现方式的任何单一的组合和/或排列。此外,本公开内容的方面和/或它们的实现方式中的每个可以单独使用或与一种或更多的其它方面和/或它们的实现方式结合使用。为简单起见,这些排列和组合中的许多将不在本文单独讨论和/或说明。
待审的权利要求没有包括以“手段加功能”或“步骤加功能”的形式呈现的限制。(参见35USC§112(f))。申请人的意图是,没有权利要求的限制依据或根据U.S.C.§112(f)被解释。

Claims (10)

1.一种用于控制在半导体加工工具内的前体流的方法,该方法包括:
(a)使气体流动通过气体管线;
(b)在投配步骤之前,打开安瓿的一个或多个阀,以使前体流开始从所述安瓿通过所述气体管线流向处理室;
(c)关闭所述安瓿的一个或多个阀,以使所述前体停止从所述安瓿流出;
(d)在所述投配步骤开始时,打开处理室阀,以使所述前体流能进入所述处理室;以及
(e)在所述投配步骤结束时,关闭所述处理室阀,以使所述前体流停止进入所述处理室。
2.根据权利要求1所述的方法,其中在(b)中打开安瓿的一个或多个阀发生在所述投配步骤前基本上等于管线填充时间的时间量时,其中,所述管线填充时间为前体从所述安瓿流到所述处理室所需要的时间。
3.根据权利要求1所述的方法,其中在(c)中关闭所述安瓿的一个或多个阀发生在(e)中的所述关闭所述处理室阀前基本上等于管线填充时间的时间量时,其中,所述管线填充时间为前体从所述安瓿流到所述处理室所需要的时间。
4.根据权利要求1所述的方法,其中在(d)中打开所述处理室阀发生在(b)中打开所述安瓿的所述阀后基本上等于管线填充时间的时间量时,其中,所述管线填充时间为前体从所述安瓿流到所述处理室所需要的时间。
5.根据权利要求1所述的方法,其中在(e)中关闭所述处理室阀发生在(c)中关闭所述安瓿的所述一个或多个阀后基本上等于管线填充时间的时间量时,其中,所述管线填充时间为前体从所述安瓿流到所述处理室所需要的时间。
6.根据权利要求1、2、4和5中的任一项所述的方法,其中在(c)中关闭所述安瓿的所述一个或多个流量阀发生在(b)中打开所述安瓿的流量阀后基本上等于吸附时间的时间量时。
7.根据权利要求1-4中的任一项所述的方法,其中在(e)中关闭所述处理室阀发生在(d)中打开所述处理室阀后基本上等于所述吸附时间的时间量时。
8.根据权利要求1所述的方法,其中:
在(b)中打开安瓿的一个或多个阀发生在所述投配步骤开始前基本上等于管线填充时间的时间量时,其中,所述管线填充时间为前体从所述安瓿流到所述处理室所需要的时间;
在(c)中关闭所述安瓿的所述一个或多个流量阀发生在(b)中打开所述安瓿的所述流量阀后基本上等于吸附时间的时间量时;
在(d)中打开处理室阀发生在(b)中打开所述安瓿的所述阀后基本上等于所述管线填充时间的时间量时;以及
在(e)中关闭所述处理室阀发生在(d)中打开所述处理室阀后基本上等于所述吸附时间的时间量时。
9.根据权利要求8所述的方法,其中所述吸附时间选自由以下构成的组:小于所述管线填充时间的时间、大于所述管线填充时间的时间、以及等于所述管线填充时间的时间。
10.根据权利要求1、3、4和5中的任一项所述的方法,其中所述安瓿的所述一个或多个阀在所述投配步骤之前打开,以使所述前体在所述投配步骤开始时到达所述处理室。
CN201610865153.1A 2015-10-02 2016-09-29 用于原子层沉积的动态前体投配 Active CN107068585B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010730406.0A CN112086381A (zh) 2015-10-02 2016-09-29 用于原子层沉积的动态前体投配

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562236780P 2015-10-02 2015-10-02
US62/236,780 2015-10-02
US14/929,073 US10094018B2 (en) 2014-10-16 2015-10-30 Dynamic precursor dosing for atomic layer deposition
US14/929,073 2015-10-30

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202010730406.0A Division CN112086381A (zh) 2015-10-02 2016-09-29 用于原子层沉积的动态前体投配

Publications (2)

Publication Number Publication Date
CN107068585A true CN107068585A (zh) 2017-08-18
CN107068585B CN107068585B (zh) 2020-08-25

Family

ID=58446674

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202010730406.0A Pending CN112086381A (zh) 2015-10-02 2016-09-29 用于原子层沉积的动态前体投配
CN201610865153.1A Active CN107068585B (zh) 2015-10-02 2016-09-29 用于原子层沉积的动态前体投配

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202010730406.0A Pending CN112086381A (zh) 2015-10-02 2016-09-29 用于原子层沉积的动态前体投配

Country Status (6)

Country Link
US (2) US10094018B2 (zh)
JP (1) JP6804920B2 (zh)
KR (1) KR20170044016A (zh)
CN (2) CN112086381A (zh)
SG (2) SG10202003055WA (zh)
TW (1) TWI737630B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116685927A (zh) * 2021-01-08 2023-09-01 应用材料公司 在配方操作中的工艺流体路径切换

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11970772B2 (en) 2014-08-22 2024-04-30 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US10094018B2 (en) 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US11393703B2 (en) 2018-06-18 2022-07-19 Applied Materials, Inc. Apparatus and method for controlling a flow process material to a deposition chamber
TWI821363B (zh) 2018-08-31 2023-11-11 美商應用材料股份有限公司 前驅物遞送系統
CN109881180B (zh) * 2019-01-31 2020-07-07 华中科技大学 一种用于微纳米颗粒的快速循环原子层沉积设备
KR20210026898A (ko) 2019-09-02 2021-03-10 삼성전자주식회사 가스 공급기 및 이를 포함하는 박막 증착 장치
JP6857760B2 (ja) * 2020-02-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11566327B2 (en) 2020-11-20 2023-01-31 Applied Materials, Inc. Methods and apparatus to reduce pressure fluctuations in an ampoule of a chemical delivery system

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060222768A1 (en) * 2005-03-31 2006-10-05 Tokyo Electron Limited Method and system for precursor delivery
CN101415977A (zh) * 2006-03-30 2009-04-22 应用材料股份有限公司 用于化学气相沉积或原子层沉积的化学物输送装置
US20110256726A1 (en) * 2010-04-15 2011-10-20 Adrien Lavoie Plasma activated conformal film deposition

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1813318C3 (de) 1968-12-07 1974-01-03 Alexander 2000 Hamburg Kueckens Zeitgesteuerte Dosiervorrichtung für flüssige Medien aus festen und elastischen Behältern
JPS63136614A (ja) 1986-11-28 1988-06-08 Hitachi Ltd 処理装置
JP3250111B2 (ja) 1992-06-16 2002-01-28 株式会社日立製作所 光磁気ディスク装置
US5465766A (en) 1993-04-28 1995-11-14 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
JP3380610B2 (ja) * 1993-11-30 2003-02-24 株式会社サムコインターナショナル研究所 液体原料cvd装置
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
TW535216B (en) 1996-09-13 2003-06-01 Tokyo Electron Ltd Photoresist processing method and photoresist processing system
TW382749B (en) 1996-12-24 2000-02-21 Tokyo Electron Ltd Liquid supplying device
JPH11312649A (ja) 1998-04-30 1999-11-09 Nippon Asm Kk Cvd装置
JP2004031782A (ja) 2002-06-27 2004-01-29 Sumitomo Chem Co Ltd 有機金属ガス供給装置
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US20040093938A1 (en) 2002-11-15 2004-05-20 Chung-Te Tsai Liquid in pipeline and liquid level detection and warning system
DE10307672A1 (de) 2003-02-21 2004-09-09 Krohne Meßtechnik GmbH & Co KG Verfahren zum Abfüllen eines flüssigen oder schüttbaren Mediums in ein Behältnis
JP2005056918A (ja) * 2003-08-06 2005-03-03 Hitachi Kokusai Electric Inc 基板処理装置
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP5264039B2 (ja) * 2004-08-10 2013-08-14 東京エレクトロン株式会社 薄膜形成装置及び薄膜形成方法
JP4626956B2 (ja) * 2004-10-18 2011-02-09 東京エレクトロン株式会社 半導体製造装置、液量監視装置、半導体製造装置の液体材料監視方法、及び、液量監視方法
US20060121192A1 (en) 2004-12-02 2006-06-08 Jurcik Benjamin J Liquid precursor refill system
US8951478B2 (en) 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US8545628B2 (en) * 2006-11-22 2013-10-01 Soitec Temperature-controlled purge gate valve for chemical vapor deposition chamber
KR100855582B1 (ko) 2007-01-12 2008-09-03 삼성전자주식회사 액 공급 장치 및 방법, 상기 장치를 가지는 기판 처리설비, 그리고 기판 처리 방법
JP5305328B2 (ja) 2007-06-07 2013-10-02 株式会社日立国際電気 基板処理装置
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
JP2011510404A (ja) 2008-01-18 2011-03-31 ピヴォタル システムズ コーポレーション ガスの流量を決定する方法、ガス・フロー・コントローラの動作を決定する方法、ガスフローコントロールシステムの一部の容量を決定する方法、及びガス搬送システム
US20090214777A1 (en) 2008-02-22 2009-08-27 Demetrius Sarigiannis Multiple ampoule delivery systems
WO2009114112A2 (en) 2008-03-08 2009-09-17 Omniprobe, Inc. Method and apparatus for precursor delivery system for irradiation beam instruments
JP4418001B2 (ja) * 2008-03-12 2010-02-17 三井造船株式会社 原料供給装置
US20090255466A1 (en) 2008-04-11 2009-10-15 Peck John D Reagent dispensing apparatus and delivery method
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
CN102272351B (zh) 2008-11-11 2014-03-19 普莱克斯技术有限公司 试剂分配装置及输送方法
KR20110122823A (ko) 2009-01-16 2011-11-11 비코 인스트루먼츠 인코포레이티드 루테늄의 저온 부착용 조성물 및 방법
US20100305884A1 (en) 2009-05-22 2010-12-02 Applied Materials, Inc. Methods for determining the quantity of precursor in an ampoule
US9028924B2 (en) * 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
WO2013016208A2 (en) 2011-07-22 2013-01-31 Applied Materials, Inc. Reactant delivery system for ald/cvd processes
CN103041954A (zh) 2011-10-13 2013-04-17 北大方正集团有限公司 一种用于旋涂设备的液位报警系统
US20130196078A1 (en) * 2012-01-31 2013-08-01 Joseph Yudovsky Multi-Chamber Substrate Processing System
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP2015190035A (ja) * 2014-03-28 2015-11-02 東京エレクトロン株式会社 ガス供給機構およびガス供給方法、ならびにそれを用いた成膜装置および成膜方法
KR102387359B1 (ko) 2014-04-18 2022-04-14 어플라이드 머티어리얼스, 인코포레이티드 자동-리필 앰풀 및 사용 방법들
US20160052651A1 (en) * 2014-08-22 2016-02-25 Lam Research Corporation Fill on demand ampoule
US10094018B2 (en) 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US9460915B2 (en) * 2014-09-12 2016-10-04 Lam Research Corporation Systems and methods for reducing backside deposition and mitigating thickness changes at substrate edges
US9613818B2 (en) * 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060222768A1 (en) * 2005-03-31 2006-10-05 Tokyo Electron Limited Method and system for precursor delivery
CN101415977A (zh) * 2006-03-30 2009-04-22 应用材料股份有限公司 用于化学气相沉积或原子层沉积的化学物输送装置
US20110256726A1 (en) * 2010-04-15 2011-10-20 Adrien Lavoie Plasma activated conformal film deposition

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116685927A (zh) * 2021-01-08 2023-09-01 应用材料公司 在配方操作中的工艺流体路径切换

Also Published As

Publication number Publication date
US20170096735A1 (en) 2017-04-06
JP6804920B2 (ja) 2020-12-23
KR20170044016A (ko) 2017-04-24
US20190024233A1 (en) 2019-01-24
US11180850B2 (en) 2021-11-23
US20170362705A9 (en) 2017-12-21
JP2017085088A (ja) 2017-05-18
SG10201608005WA (en) 2017-05-30
CN107068585B (zh) 2020-08-25
TWI737630B (zh) 2021-09-01
SG10202003055WA (en) 2020-05-28
CN112086381A (zh) 2020-12-15
TW201720951A (zh) 2017-06-16
US10094018B2 (en) 2018-10-09

Similar Documents

Publication Publication Date Title
CN107068585A (zh) 用于原子层沉积的动态前体投配
TWI725000B (zh) 電漿輔助原子層沉積中之射頻補償用方法及設備
KR20200027430A (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
CN105714272B (zh) 用于提高膜均匀性的装置和方法
KR102425423B1 (ko) 펌핑 배기 시스템 내에서 배출물 축적을 감소시키기 위한 시스템들 및 방법들
US9758867B2 (en) Method of controlling gas supply apparatus and substrate processing system
CN105390414B (zh) 按需填充安瓿
KR20170124074A (ko) 멀티-스테이션 증착 시스템에서 막 두께 매칭을 위한 가변 사이클 및 시간 rf 활성화 방법
JP6976043B2 (ja) 原子層堆積中における化学物質の制御された分離および送出により低欠陥処理を可能にするシステムおよび方法
US20190195219A1 (en) Substrate processing apparatus and recording medium
JP2021528857A (ja) 金属酸化物膜を除去するための温度制御システムおよびその方法
CN108630581A (zh) 衬底处理系统的前体蒸气供应系统中流监测的系统和方法
US11970772B2 (en) Dynamic precursor dosing for atomic layer deposition
KR101414427B1 (ko) 컨디셔닝 방법, 컴퓨터 판독 가능한 기억 매체 및 기판 처리 장치
JPH02125421A (ja) 熱処理装置
JP2023501371A (ja) 高周波電力増加によるプラズマ強化原子層堆積
KR102161369B1 (ko) 기판 처리 방법 및 기판 처리 장치
JP2009226408A (ja) 処理ガス供給装置及び成膜装置
JP2014013841A (ja) 処理方法およびコンデショニング方法
JP2007194331A (ja) 基板処理装置
TW202321842A (zh) 多站處理腔室元件的選擇性控制
JP2011061002A (ja) 基板処理装置
CN118119732A (zh) 用于半导体处理的阀歧管

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant