JP6804920B2 - 原子層堆積のための動的前駆体注入 - Google Patents

原子層堆積のための動的前駆体注入 Download PDF

Info

Publication number
JP6804920B2
JP6804920B2 JP2016192428A JP2016192428A JP6804920B2 JP 6804920 B2 JP6804920 B2 JP 6804920B2 JP 2016192428 A JP2016192428 A JP 2016192428A JP 2016192428 A JP2016192428 A JP 2016192428A JP 6804920 B2 JP6804920 B2 JP 6804920B2
Authority
JP
Japan
Prior art keywords
precursor
ampoule
processing chamber
flow
time
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016192428A
Other languages
English (en)
Other versions
JP2017085088A (ja
JP2017085088A5 (ja
Inventor
プルショッタム・クマル
アドリアン・ラボワ
ジュン・チエン
フー・カン
イシュタク・カリム
フン・スオン・オウ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2017085088A publication Critical patent/JP2017085088A/ja
Publication of JP2017085088A5 publication Critical patent/JP2017085088A5/ja
Application granted granted Critical
Publication of JP6804920B2 publication Critical patent/JP6804920B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Description

いくつかの半導体製造工程は、前駆体をウエハ上に流してから、通常プラズマおよび/または第2の前駆体を用いて基板表面の反応を活性化させることによって、半導体ウエハ上に膜を形成する。かかる1つの工程である原子層堆積法(「ALD」)では、1つ以上の気化した前駆体は、ALDサイクル時の1つ以上の「注入」工程の一部としてアンプルから半導体ウエハ上に流される。従来の半導体処理装置には、前駆体が処理チャンバに注入される方法によっては前駆体の廃棄につながるものもある。
例示的な前駆体供給システムを表す概略図。
図1の例示的な前駆体注入システムのガスライン部を表す例示図。
ライン充填時間の決定に用いられてよい例示的な実験を表すグラフ図。
吸着時間がライン充填時間より短いときの前駆体供給システムの第1の例示的実施形態の弁操作およびタイミングを表すグラフ図。
前駆体充填の終了時における第1の例示的実施形態の前駆体供給システムを表す図。
注入工程の開始時における第1の例示的実施形態の前駆体供給システムを表す図。
吸着時間がライン充填時間より長いときの前駆体供給システムの第2の例示的実施形態の弁操作およびタイミングを表すグラフ図。
注入工程の開始時における第2の例示的実施形態の前駆体供給システムを表す図。
アンプル弁の閉鎖時における第2の例示的実施形態の前駆体供給システムを表す図。
吸着時間がライン充填時間と等しいときの前駆体供給システムの第3の例示的実施形態の弁操作およびタイミングを表す図。
注入工程の開始時における第3の例示的実施形態の前駆体供給システムを表す図。
注入工程の終了時における第3の例示的実施形態の前駆体供給システムを表す図。
本明細書に記載される実施形態を用いることが場合によって前駆体使用量の削減をもたらすことを示す実証データ。
前駆体供給システムを含む半導体処理装置の例示的な制御装置を表す図。
本開示のシステム、方法、および装置は、各々いくつかの革新的な態様を有し、いずれも本明細書に開示される望ましい特性に全責任を負わない。これら態様に含まれるのは、少なくとも以下の実施形態であるが、さらなる実施形態が発明を実施するための形態に記載されてよい、または本明細書に記載される説明から明らかになってよい。
いくつかの実施形態では、半導体処理装置において前駆体の流れを制御する方法が提供される。その方法は、(a)ガスラインを通じてガスを流すことと、(b)注入工程の前にアンプルの1つ以上の弁を開放して、ガスラインを通じてアンプルから処理チャンバへの前駆体の流れを開始することと、(c)アンプルの1つ以上の弁を閉鎖して、前駆体がアンプルから流出しないようにすることと、(d)注入工程の開始時に処理チャンバ弁を開放して、前駆体の流れが処理チャンバに入るようにすることと、(e)注入工程の終了時に処理チャンバ弁を閉鎖して、前駆体の流れが処理チャンバに入らないようにすること、を含んでよい。
いくつかのさらなる実施形態では、(b)におけるアンプルの1つ以上の弁を開放することは、注入工程の前にライン充填時間と実質的に等しくてよい時間だけ起きてよい。ライン充填時間は、前駆体がアンプルから処理チャンバに流れるのに必要な時間である。
いくつかのさらなる実施形態では、(c)におけるアンプルの1つ以上の弁を閉鎖することは、(e)における処理チャンバ弁の閉鎖前にライン充填時間と実質的に等しくてよい時間だけ起きてよい。ライン充填時間は、前駆体がアンプルから処理チャンバに流れるのに必要な時間である。
いくつかのさらなる実施形態では、(d)における処理チャンバ弁を開放することは、(b)におけるアンプルの複数の弁の開放前にライン充填時間と実質的に等しくてよい時間だけ起きてよい。ライン充填時間は、前駆体がアンプルから処理チャンバに流れるのに必要な時間である。
いくつかのさらなる実施形態では、(e)における処理チャンバ弁を閉鎖することは、(c)におけるアンプルの1つ以上の弁の閉鎖後にライン充填時間と実質的に等しくてよい時間だけ起きてよい。ライン充填時間は、前駆体がアンプルから処理チャンバに流れるのに必要な時間である。
いくつかのさらなる実施形態では、(c)におけるアンプルの1つ以上の弁を閉鎖することは、(b)におけるアンプルの流量弁の開放後に吸着時間と実質的に等しくてよい時間だけ起きてよい。
いくつかのさらなる実施形態では、(e)における処理チャンバ弁を閉鎖することは、(d)における処理チャンバ弁の開放後に吸着時間と実質的に等しくてよい時間だけ起きてよい。
いくつかのかかる実施形態では、(b)におけるアンプルの1つ以上の弁を開放することは、注入工程の開始前にライン充填時間と実質的に等しくてよい時間だけ起きてよい。ライン充填時間は、前駆体がアンプルから処理チャンバに流れるのに必要な時間である。(c)におけるアンプルの1つ以上の流量弁を閉鎖することは、(b)におけるアンプルの複数の流量弁の開放後に吸着時間と実質的に等しくてよい時間だけ起きてよく、(d)における処理チャンバ弁を開放することは、(b)におけるアンプルの複数の弁の開放後にライン充填時間と実質的に等しくてよい時間だけ起きてよく、(e)における処理チャンバ弁を閉鎖することは、(d)における処理チャンバ弁の開放後に吸着時間と実質的に等しくてよい時間だけ起きてよい。
いくつかのさらなるかかる実施形態では、吸着時間は、ライン充填時間より短い、ライン充填時間より長い、またはライン充填時間と等しい時間であってよい。
いくつかのさらなる実施形態では、アンプルの1つ以上の弁は、注入工程の開始時に前駆体を処理チャンバに到達させるために、注入工程前に開放されてよい。
いくつかのさらなる実施形態では、アンプルの1つ以上の弁は、注入工程の開始時に前駆体をガスラインに少なくとも部分的に満たすために、注入工程前に開放されてよい。
いくつかのさらなる実施形態では、アンプルの1つ以上の弁は、注入工程の終了時に前駆体をガスラインに実質的に残さないために、注入工程の終了前に閉鎖されてよい。
いくつかのさらなる実施形態では、アンプルの1つ以上の弁は、ウエハ上に所望の吸着を達成するために、一定量の前駆体がガスラインに入ると閉鎖されてよい。
いくつかのさらなる実施形態では、処理チャンバの弁は、ウエハ上への望ましい吸着が起きた後に閉鎖されてよい。
いくつかのさらなる実施形態では、処理チャンバの弁は、ガスライン内に前駆体が実質的に残っていないときは閉鎖されてよい。
いくつかのさらなる実施形態では、この方法は、さらに、ライン充填時間を決定する工程を含んでよい。ライン充填時間は、前駆体がアンプルから処理チャンバに流れるのに必要な時間である。
いくつかの実施形態では、前駆体供給システムを含む半導体処理装置のための制御装置が提供される。制御装置は、少なくとも1つのメモリと、少なくとも1つのメモリと通信可能に接続して、半導体処理装置および前駆体供給システムと通信可能に接続するように構成されてよい少なくとも1つのプロセッサと、を備えてよい。少なくとも1つのメモリは、少なくとも1つのプロセッサが:ガスラインを通るガスの流れを生じさせることと、注入工程の前にアンプルの1つ以上の弁を開放して、ガスラインを通るアンプルから処理チャンバへの前駆体の流れを開始することと、アンプルの1つ以上の弁を閉鎖して前駆体がアンプルから流出しないようにすることと、注入工程の開始時に処理チャンバ弁を開放して前駆体の流れが処理チャンバに入るようにすることと、注入工程の終了時に処理チャンバ弁を閉鎖して前駆体の流れが処理チャンバに入らないようにすることを制御するためのコンピュータ実行可能な命令を格納してよい。
いくつかの実施形態では、メモリは、さらに、少なくとも1つのプロセッサが、注入工程の前にアンプルの1つ以上の弁を開放して、注入工程の開始時に前駆体を処理チャンバに到達させることを制御するためのコンピュータ実行可能な命令を格納してよい。
いくつかの実施形態では、メモリは、さらに、少なくとも1つのプロセッサが、注入工程の前にアンプルの1つ以上の弁を閉鎖して、注入工程の終了時に前駆体がガスライン内に実質的に残らないように制御するためのコンピュータ実行可能な命令を格納してよい。
いくつかの実施形態では、メモリは、さらに、少なくとも1つのプロセッサが、一定量の前駆体がガスラインに入るとアンプルの1つ以上の弁を閉鎖してウエハ上に所望の吸着を達成することを制御するためのコンピュータ実行可能な命令を格納してよい。
以下の説明では、提示される概念の十分な理解を提供するために多くの特定の詳細が述べられる。提示される概念は、これら特定の詳細のいくつかまたは全てを用いずに実施されてよい。その他の例では、記載の概念を不必要に曖昧にしないように周知の処理動作は詳細に説明されていない。いくつかの概念は特定の実施例と共に説明されるが、それらの実施例は限定することを意図していないことを理解されたい。
本明細書には、多くの概念および実施形態が説明され図示される。本明細書で述べられる実施形態の一定の特徴、特性、および利点が説明され図示されるが、本開示の異なるおよび/または同様の実施形態、特徴、特性、および利点だけでなく、多くの他の事が説明および図から明らかになることを理解されたい。そのため、上記の実施形態は例示にすぎず、それらは、完全であること、もしくは、開示されている正確な形態、技術、材料、および/または構成に本開示を限定することを意図していない。本開示に照らして、多くの修正および変更が可能である。本開示の範囲から逸脱することなく、他の実施形態が用いられ、動作変更が行われてもよいことを理解されたい。そのため、上記の実施形態の説明は、図示および説明の目的で提示されているため、本開示の範囲は上記の説明のみに限定されない。
本開示は、いかなる態様および実施形態にも限定されず、またかかる態様および/または実施形態のいかなる組み合わせおよび/または並べ替えにも限定されない。加えて、本開示の態様および/またはその実施形態の各々は、単独で、もしくは1つ以上の他の態様および/またはその実施形態と組み合わせて用いられてよい。簡潔にするため、それらの並べ替えおよび組み合わせの多くは、本明細書では別個に記載および/または図示されない。
本明細書で開示されるのは、半導体基板またはウエハ上の成膜を用いるいくつかの半導体製造処理時における処理チャンバへの前駆体供給を改善するための方法および装置である。半導体製造処理のいくつかは、各々が「分離」膜厚を製造する複数の成膜サイクルを含んでよい。かかる成膜方法の1つは原子層堆積法(「ALD」)であるが、繰り返し連続する方式において用いられる技術を含む1つ以上の薄膜層を堆積させる技術、および複数のサイクルの堆積を含むと見なされてよい技術が、本開示に適用されてよい。半導体ウエハ上に薄膜を堆積させるいくつかの実施形態は、ウエハ表面上での2つの半反応を含んでよい。第1の半反応は、ウエハの表面への1つ以上の前駆体の吸着を含み、第2の半反応は、吸着した前駆体の膜または層への変換を含んでよい。
例えば、ALDは、ALDの単一サイクルが材料の単一薄層を堆積するのみであり、成膜化学反応自体の前に基板表面上に吸着(すなわち、吸着制限された層の形成)できる1つ以上の膜前駆体反応物の量だけ厚みが制限されるという事実により、共形膜の堆積によく適した成膜技術である。複数の「ALDサイクル」は、次に所望の厚みの膜を形成するのに用いられてよく、各層が薄く共形のため、結果として生じた膜は、下部の装置構造の形状に実質的に適合する。ある実施形態では、各ALDサイクルは、次の工程を含む:(1)基板表面の第1の前駆体への曝露、(2)基板が設置されている反応チャンバのパージ、(3)一般にプラズマおよび/または第2の前駆体を用いる基板表面の反応の活性化、(4)基板が設置されている反応チャンバのパージ。本明細書に記載される第1の工程は「注入工程」と呼ばれ、第2の工程は「パージ工程」と呼ばれ、第3の工程は「RF工程」と呼ばれ、第4の工程は「RFパージ工程」と呼ばれてよい。
前駆体を用いる半導体処理のいくつかの実施形態は、前駆体を処理チャンバに供給するためにハードウェアを含んで方法を実施してよい。半導体処理のある実施形態では、液体前駆体は、半導体ウエハ上に搬送および/または堆積される前に蒸発(すなわち、気化)される必要があってよい。液体前駆体は、アンプルおよびキャリアガスに含まれてよい。キャリアガスは、不活性ガス(アルゴンなど)、非不活性ガス(酸素など)、または混合非不活性ガス(酸素とアルゴンなど)であってよく、アンプルを通って流れて半導体処理チャンバに蒸発した前駆体を搬送してよい。キャリアガスは、アンプルを通って「押し出される」(ガスが強制的にラインを通される)または「引き出されて」(場合によっては真空によりガスがラインを通って引き出される)蒸発した前駆体を搬送してよい。本開示全体を通して、「前駆体」との単語は、液体状態と蒸気状態の両方の前駆体を説明するのに用いられてよい。
図1は、例示的な前駆体供給システムの概略図を表す。図からわかるように、例示的な前駆体供給システム100は、流量制御装置102、アンプル104、混合アセンブリ126、および処理チャンバ128を備え、それらは、液体および/または気体などの流体が流れうる一連の管および弁に接続されている。通常、流体が流れる方向は、図1の右から図1の左であってよい(かかる通常方向は、3つの白い矢印で示されている)。例えば、流量制御装置102は、アンプル104および混合アセンブリ126より上流と見なされてよい。図1では、例示的な前駆体供給システム100のガスラインおよび/または管は、線(実線、破線、または点線など)で表されており、弁は、「蝶ネクタイ」(例えば、2つの対向する三角形)で表されている。開放弁は網掛けされていないが、閉鎖弁は網掛けされている。図1の全ての弁は、開放弁として示されている。
流量制御装置102は、弁106の下流で、システムの他の装置の上流に接続されている。押し出しガスまたは他の流体は、システムに流れ込み、流量制御装置102を通って流れてよい。いくつかの実施形態では、流量制御装置102は、押し出しガスまたは他の流体の流れを制御する。流量制御装置102は、弁110の上流にある弁108の上流にあり、下流には弁112と弁114との間に接合点がある。アンプル104は、ガス流がアンプル104に出入りできるようにしてよい2つの弁、弁114および弁116を備える。弁112は、弁114および/または116が閉鎖されてよい場合を含めて、ガスがアンプル104を迂回する、またはアンプル104を回るようにするバイパス弁である。弁116と112との間には別の接合点があり、その後(例えば、下流)に弁118および弁120があり、続いて混合アセンブリ126がある。混合アセンブリ126の下流には、弁122と124との間に第3の接合点がある。弁124は、処理チャンバ128に流れる可能性がないフォアライン130に流れ、弁122は、処理チャンバ128への流れを可能にする。本開示の目的のために、「ガスライン部」および/または「ガスライン」との用語は、アンプル104を混合アセンブリ126に接続して弁116、118、および120を備えてよい例示的な前駆体供給システムの1つ以上の部分を説明するのに用いられてよい。いくつかの実施形態では、ガスライン部は、混合アセンブリ126と弁122との間、および/または弁122と処理チャンバ128との間にラインを備えると見なされてもよい。図2は、図1の例示的な前駆体供給システムの例示的なガスライン部を表す。図からわかるように、このガスライン部は、アンプル104から処理チャンバ128へのガスラインを備える。
本開示の目的のために、「アンプル弁114/116」および/または「アンプル弁」との用語は、「アンプル弁114/116」が開放されているとき、弁114および116は開放されて、前駆体はアンプルから流出してガスライン部に流入する、また「アンプル弁114/116」が閉鎖されているとき、前駆体がガスライン部に流入しないように弁116および114は閉鎖されるというように、弁116および114を説明するのに用いられてよい。いくつかの構成では、「アンプル弁114/116」が開放されうるとき、その結果として弁112は閉鎖されてよく、同じく「アンプル弁114/116」が閉鎖されうるとき、弁112は開放されてよい。同様に、弁122は、処理チャンバ128への流入を可能にするまたは防ぐことができるため「処理チャンバ弁122」と見なされ、弁124は、フォアライン130への流入を可能にするまたは防ぐことができるため「フォアライン弁124」と見なされてよい。弁112は、流れがアンプル104に流入する、もしくはアンプル104を回避または迂回するようにするため、「バイパス弁112」と見なされてよい。
他の前駆体供給システムには、いくつかの異なる要素および/または構成を有してよいものもあるが、本明細書に述べられる実施形態は、かかる他のシステムにも適用されてよい。図1に表されるような前駆体供給システムは、半導体処理装置の一部であってよく、1つ以上の処理チャンバに接続されてよい。実証のため、本明細書に述べられる前駆体供給システムは、1つの処理チャンバを備えるが、いくつかの実施形態では1つ以上の処理チャンバを備えてよい。
前駆体供給システムは、前駆体を処理チャンバ128に供給するために多くの方法で実施されてよい。いくつかの実施形態では、押し出しガス(アルゴンのような不活性ガス、または酸素のような非不活性ガスなど)が、例えば流量制御装置102によって、開放された弁108、110、および114を通ってアンプル104に流入される場合に、前駆体は、前駆体供給システムに流入するようにされてよい。かかる状況では、弁112は閉鎖されてよい。アンプル104内の気化した前駆体は、押し出しガスによってアンプル104から押し出され、開放された弁116および118を通って混合アセンブリ126に押し込まれてよい。弁112および124が閉鎖されてよいいくつかのかかる状況では、前駆体は、開放された弁122を通って処理チャンバ128に流入するようにされてよい。
気化した前駆体がアンプル104から処理チャンバ128に流れるのに要する時間は、「ライン充填時間」と見なされてよい。しかし、ライン充填時間は、アンプル104から処理チャンバ128の上流であってよい別の場所(例えば、処理チャンバ弁122)に前駆体が流れるのに要する時間と見なされてもよい。ガスラインの充填は、前駆体のガスラインへの充填の工程と見なされてよい。一部が充填されたガスラインは、全てではなくいくらかの前駆体を含んでよいが、完全に充填されたガスラインは、実質的に全ての前駆体を含んでよい。ウエハが一定量または一定割合の前駆体を吸着するのに要する時間は、「吸着時間」と見なされてよい。この吸着時間は、飽和時間であってよい、飽和時間より短くてよい、または飽和時間より長くてよい。飽和時間とは、ワークピースの表面(例えば、ウエハまたは基板)を前駆体で完全に飽和するのに必要な時間である。飽和は、追加の前駆体がワークピース上に吸着できない時点、或いは物理的および/または化学的にワークピースの表面に付着できない時点と見なされてよい。
ここでは、前駆体供給のいくつかの現在一般的である実施形態について述べられる。1つの現在一般的な実施形態では、気化した前駆体がアンプル104の下流に継続して流れるように、ALDサイクルの1つ以上の工程時に、押し出しガスは、例えば流量制御装置102によって、アンプル104に継続して流入するようにされてよいが、この継続的に流れる前駆体は、前駆体が処理チャンバ128に供給されるのが望ましくない工程では処理チャンバ128を迂回して、前駆体が処理チャンバ128で必要とされるとき(例えば、注入工程時)に処理チャンバ128に向けられる。例えば、前駆体が処理チャンバ128に供給されてはいけない工程では(例えば、RF工程時)、弁122が閉鎖され弁124が開放されることにより前駆体をフォアライン130に迂回させ、逆に、前駆体が処理チャンバ128で求められるときは、弁124は閉鎖され弁122は開放されてよい。しかし、かかる継続的に流れる気化した前駆体は、かなりの前駆体の使用量および/または前駆体の廃棄を含むがそれに限定されない多くの不利益を引き起こす。
いくつかの他の現在一般的な実施形態では、アンプル弁の起動は、処理における工程の開始および/または停止に関連して(例えば、伴って)および/または依存してよい。例えば、アンプル弁114/116は、注入工程またはRFパージ工程の開始時に開いてよく、アンプル弁114/116は、注入工程の終了時に閉じてよい。いくつかのかかる実施形態では、アンプル104から処理チャンバ128に向かう前駆体の流れは、処理工程(例えば、RFパージ工程)の開始と同時に始まってよく、前駆体の流れは、注入工程の終了時に停止してよい。かかる方法は、前駆体の廃棄および/または(ウエハのスループットを低下させうる)追加時間の使用を含むがそれに限定されない多くの不利益を有する。
例えば、注入工程の開始時に前駆体をアンプル104から処理チャンバ128に流れるようにするいくつかの現在の実施形態では、全注入工程時間は、少なくともライン充填時間と吸着時間とを含む。加えて、前駆体は、注入工程後にアンプル104と処理チャンバ128との間のガスライン部に残ってよく、「スラグ」と呼ばれてよい。このスラグは、後のウエハ処理工程で用いられるとガスライン部で結露が発生する可能性があり、それが望ましくないウエハの欠陥をもたらすため、フォアライン130に向けて処理チャンバ128から迂回(つまり、廃棄)されてよい。いくつかのこの例の不利益には、とりわけ、前駆体の廃棄だけでなくスループット(すなわち、処理効率および全処理時間)も削減できる長い注入時間を含む。
別の例は、注入工程の前であるRFパージ工程の開始時に、アンプル104から処理チャンバ128に流れ始める前駆体を含む。RFパージ工程がライン充填時間より短い時間かかるいくつかのかかる状況では、RFパージ工程は、前駆体が処理チャンバ128に到達するようにするために、必要以上に長くなってよい。これは、スループット、ウエハの均一性に悪影響を及ぼし、RFパージ工程時に用いられる追加材料を無駄にする可能性がある。RFパージ工程がライン充填時間より長くかかるいくつかの他の状況では、前駆体は、いくらかの前駆体が処理チャンバ128から迂回されて廃棄されることで前駆体を無駄にする可能性があるRFパージ工程の終了前に処理チャンバ128に到達してよい。さらに、上述のように、注入工程が完了した後に、前駆体は、アンプルと処理チャンバ128との間のガスラインに残り廃棄されることで、追加の前駆体を無駄にしてよい。
本明細書に記載されていない他の現在の実施形態と同様に、かかる現在の例示的な実施形態では、前駆体供給システムは、前駆体の使用量をも削減しながらライン充填時間および注入時間を最適化するように構成されていない。かかるシステムは、ライン充填時間、注入工程時間、スループットに悪影響を及ぼし、ウエハの欠陥を引き起こすことを含むがそれらに限定されない重大な不利益を有する。
本開示の発明者は、半導体処理において低い欠陥性を達成しながら前駆体を動的に供給し、効率的に利用するための新しい方法、実施形態、装置、および技術を開発した。いくつかの実施形態では、アンプル弁114/116が処理工程の開始および停止に依存せずに開放および/または閉鎖するように、アンプル弁114/116の開放および閉鎖は、上述のように、処理工程の開始および/停止時に起こることとは分離されてよい。いくつかのかかる実施形態では、アンプル弁114/116の動作は、処理チャンバにおけるALD工程から独立してよい。いくつかの実施形態では、前駆体は、継続的に流れなくてもよい。以下により詳細に述べられるように、注入工程の開始時に所望量の前駆体を処理チャンバに供給するために、本実施形態および技術は、とりわけ(A)アンプル弁114/116開放の継続期間、および/または(B)アンプル弁114/116が注入工程前に開く時間を調整してよい。
例えば、図1を用いて、アンプル弁114/116は、前駆体が注入工程の開始時に処理チャンバ128に到達するように、注入工程前にガスライン部を充填または部分的に充填するために、注入工程前の特定の時間に開いてよい。アンプル弁114/116が注入工程前に開いてよい時間は、数ある中でも、前駆体供給システムおよび/もしくは半導体処理装置のハードウェア、1つ以上の処理パラメータ(例えば、押し出しガス流、アンプル温度、および/もしくはヘッドスペース圧)、蒸気ライン充填時間、ならびに/または所望の吸着時間に依存してよい。アンプル弁114/116は、所望量のウエハ吸着のため、および/またはガスライン部内で必要な量前駆体のために、アンプル104から適したおよび/または所望の量の前駆体を引き出す(すなわち、充填する)ために特定の期間開いたままであってよい。
アンプル弁114/116はまた、適したおよび/または所望の量の前駆体がアンプル104から流出した後に閉じてもよい。いくつかの実施形態では、所望量の前駆体は、ウエハの飽和に十分、飽和よりも少ない吸着に十分、または所望の吸着および/もしくは飽和に必要以上であってよい。この量は、変更可能であってよく、サイクルごとに変わってよく、および/またはユーザによって制御されてよい。注入工程は、注入工程の終了までに所望量の吸着が生じてガスライン部に残っている前駆体が実質的にないように、前駆体の全てまたは大部分が処理チャンバ128に流入した後に終了してよい(例えば、処理チャンバ弁122は閉じてよい)。いくつかのかかる実施形態では、継続的な押し出しガスの流れ(例えば、サイクル時に停止しなくてよい流れ、および/または、アンプル弁114/116が開く前に開始し、処理チャンバ弁122が閉鎖された後に停止してよい流れ)があってよい。
いくつかの新しい実施形態では、弁のタイミングは、ALD注入工程の開始および停止のように、一定の堆積動作の開始および/または停止と関連してよい。アンプル弁114/116の開放と注入工程の開始との間の時間は、ガスライン充填時間(上述のように、ガスがアンプル104から処理チャンバ128の入口まで流れるのに必要な時間であってよい)に相当してよいまたは基づいてよい。さらに、アンプル弁114/116は、前駆体をワークピースの表面に吸着させるための処理要件を満たすのに必要な時間(上述のように、飽和時間または一定割合の飽和時間であってよい)に相当するまたは基づく期間開いたままでよい。
上述のように、アンプル弁114/116が開く時間、および/または、アンプル弁114/116が開放されている期間は、吸着時間および/またはライン充填時間に依存してよい。ライン充填時間は、多くの異なる方法によって決定されてよい。図3は、ライン充填時間を決定するのに用いられてよい例示的な実験のグラフ表示である。図3は、数ある中でも、いくつかのパラメータ、データ、およびかかる例示的実験の結果を含む。図からわかるように、全注入工程332は、秒単位の注入時間がx軸に、堆積速度がy軸に表されている。RFパージ工程334は、図3の左に示されて、かかる工程が注入工程332の前に行われることを示し、パージ工程336は、図3の右に示されて、かかる工程が注入工程332の後に行われることを示す。かかる実験では、ウエハの堆積速度は、ライン充填時間および吸着時間を決定するために、注入工程332の様々な期間において測定された(この場合、飽和時間が測定された)。図3の注入工程332は、3つの部分を有している。第1は、点344付近と点346付近との間で生じてよいライン充填時間338であり、第2は、点346付近と点348付近との間で生じてよい吸着時間340(飽和時間であってよい)であり、第3は、点348付近と350との間で生じてよい飽和後342である。
図3に表される、図1の例示的な前駆体供給システムに関する実験では、アンプル104から流出する前駆体はないが押し出しガスがシステムを通って流れアンプル104を迂回して弁112を通るように、注入工程332の開始直前にアンプル弁114/116は閉鎖され、弁106、108、110、112、118、120、および124は開放され、弁122は閉鎖された。注入工程332の時間ゼロ(すなわち、点344)において、前駆体をアンプル104から処理チャンバ128に流し始めるため、アンプル弁114/116は開放され、弁112は閉鎖された。図3からわかるように、堆積速度は、堆積が始まった点346に示される約0.16秒までおよそゼロに留まった、つまり前駆体が処理チャンバ128に到達してウエハ上に前駆体を堆積し始めることで、ライン充填時間338がおよそ0.16秒になった。図3の注入段階332の第2の部分である吸着時間340は、ここでは、これ以上の材料がウエハによって吸着されない、注入工程332の開始後およそ0.3秒(すなわち、点348)で生じた飽和状態である、ウエハが所望の吸着に達した時間を表す。そのため、飽和時間は、この例では約0.15秒であった。注入段階332の第3の部分である飽和後342では、前駆体は処理チャンバ128に流入したが、さらなる吸着は生じなかった。
図3に示す例では、ライン充填時間(約0.16秒)は、吸着(例えば、飽和)時間(約0.15秒)とほぼ同じであった。これらの結果に基づき、本発明者は、いくつかの状況では、完全にまたは実質的に充填されたライン内の蒸気(例えば、弁116付近から処理チャンバ128付近までのガスライン部内の前駆体)が、所望の吸着および/もしくは飽和に必要であってよい前駆体の全てまたはほとんどを供給してよいことを決定した。しかし、異なる処理条件を用いてよいいくつかの他の実施形態では、このことは成り立たない可能性がある。さらに、本発明者は、ライン充填が、前駆体の廃棄を回避または削減するために正確に開始されてよいこと、ならびに、前駆体によって既に充填されたガスライン部が残りの注入工程で用いられるように、および/または、前駆体の流れがチャンバ内でALD工程から分離されるように、例えばアンプル104からのガスライン部への前駆体の流れが、例えばアンプル弁114/116を閉鎖することによって、注入工程の終了前に停止されてよいことを決定した。
いくつかの実施形態では、上記のような測定工程は、サイクル、工程、もしくは処理ごとのライン充填時間および/または飽和/吸着時間を決定するために行われてよい。かかる情報は後に、例えばALD処理の注入工程に関して、アンプル弁の開閉のタイミングおよび期間を決定するために用いられてよい。いくつかの実施形態では、この測定は、ALDサイクルの前、最中、および/または後に起こってよく、サイクル、工程、および/または半導体製造処理を通して繰り返されてよい。
ここでは、注入工程および処理チャンバ弁の開閉に関するアンプル弁の開閉のタイミングを証明するいくつかの例示的な実施形態について述べる。上述のように、アンプル弁が開くおよび/または閉じる時間は、吸着時間および/またはライン充填時間に依存してよい。述べられるのは、吸着時間がライン充填時間より短くてよい、吸着時間がライン充填時間より長くてよい、吸着時間がライン充填時間と実質的に等しくてよい、3つの例示的状況である。これらの例示的な実施形態について述べるとき、図1に記載の同じ例示的な前駆体供給システムが用いられる。しかし、これらの例示的な実施形態は、図1の前駆体供給システムに限定されず、あらゆる半導体処理装置の前駆体供給システムに適用されてよい。さらに、かかる例示的な実施形態では、押し出しガスは、システムに継続的に流されてよい。
第1の例示的実施形態では、吸着時間はライン充填時間より短くてよい。図4は、吸着時間がライン充填時間より短い場合の、前駆体供給システムの第1の例示的実施形態における弁動作およびタイミングを表すグラフ表示である。図4に表す表では、時間はx軸にあって左から右に進むが、単位は、処理工程、前駆体、押し出しガス、および処理条件を含むがそれらに限定されない多くの要因によって変化してよいため、特定の単位は設けていない。y軸は弁動作、すなわち、処理チャンバ弁122、フォアライン弁124、アンプル弁114/116、およびバイパス弁112の4つの弁の「開放」または「閉鎖」のいずれかを含む。図からわかるように、前駆体がシステムに充填される前(すなわち、第1の時点452以前)に、処理チャンバ弁122は閉鎖され、フォアライン弁124は開放され、アンプル弁114/116は閉鎖され、バイパス弁は開放されて、それにより押し出しガスが数ある中でも流量制御装置102からバイパス弁112を通り、アンプル104ではなく混合アセンブリ126に流れて、フォアライン130を通って流れるようにしてよい。
図4の第1の時点452付近において、アンプル弁114/116は開き、バイパス弁112は閉じることで、押し出しガスがアンプル104に流入し、前駆体がシステムに流入し始めることができる。この例示的実施形態では、アンプル弁114/116は、処理チャンバ弁122が開く前に開き、吸着時間460と等しいまたは実質的に等しい期間開放されてよい。アンプル弁114/116は、同じく処理チャンバ弁122が開く前であってもよい第2の時点454付近で閉じてよい。図4では、ガスライン部は、第1の時点452付近と第2の時点454付近との間で前駆体によって充填されてよいが、図4に表されるようないくつかのかかる実施形態では、アンプル104と処理チャンバ128との間のガスライン部は、第2の時点454付近の充填終了時において前駆体によって完全に充填されなくてよい。これにより、所望量の前駆体がガスライン部および/または処理チャンバ128に送られることができる。
図5は、前駆体充填の終了時における第1の例示的実施形態の前駆体供給システムを表す。図4の表を参照すると、図5は、第2の時点454付近直前の例示的な前駆体供給システム100を示す。図5(図6、8、9、11、および12も同様)では、右下の凡例に示されるように、実線は流れがないことを示し、点線は押し出しガスを示し、破線は前駆体を示す。図5からわかるように、ガスライン部(例えば、弁114と116との間の接合部から弁122および124の接合部)は、前駆体および押し出しガスの両方を含む。この例示的実施形態では、ガスライン部に充填されてよい前駆体の量は、ガスライン部を完全には充填できないが所望の吸着または所望の処理に十分であってよい。図5はまた、バイパス弁112が閉鎖されることで、押し出しガスがアンプル104に入ることができるようになることを示し、処理チャンバ弁122が閉じてフォアライン弁124が開くことで、押し出しガスがフォアライン130に迂回して処理チャンバ128に入らないようにすることも示す。
図4に戻ると、次の時点である第3の時点456付近では、注入工程が開始する。第2の時点454付近の充填終了と第3の時点456付近の注入工程開始との間に、アンプル弁114/116は閉鎖され、バイパス弁112は開放され、フォアライン弁124は開放され、処理チャンバ弁122は閉鎖されてよい。いくつかの例示的実施形態では、注入工程は、ライン充填時間462と同等または実質的に同等の時間だけ前駆体の充填開始後(例えば、第1の時点452)に開始されてよい。前述のように、ライン充填時間462は、前駆体がアンプル104から処理チャンバ128に移動する時間と見なされてよい。このタイミングは、注入(例えば、注入工程)の開始となってよい第3の時点456付近で前駆体を処理チャンバ128に到達させてよい。
この第3の時点456における前駆体供給システムは、図6に見ることができる。図6は、注入工程開始時における第1の例示的実施形態の前駆体供給システムを表す。図からわかるように、アンプル弁114/116は閉鎖され、バイパス弁112は開放され、フォアライン弁124は閉鎖され、処理チャンバ弁122は開放されており、これら全ては、ガスライン部内の前駆体が処理チャンバ128に入ることができるようにする。
図4に戻ると、チャンバ弁122は、所望量の前駆体が処理チャンバ128に入ることができる時間の分だけ開放されてよい。この時間は、図4に表されるように、第3の時点456付近と第4の時点458付近との間であってよい吸着時間460と同等または実質的に同等であってよい。処理チャンバ弁122が吸着時間460であってよい所望の時間だけ開放されると、第4の時点458付近において表されるように、処理チャンバ弁122は閉じ、フォアライン弁124は開いてよい。この第4の時点458は、注入工程の終了と見なされてよい。いくつかの実施形態では、かかる時間においてガスライン部内に前駆体はほとんどまたは全く残っていなくてよい。
第2の例示的実施形態では、吸着時間はライン充填時間より長くてよい。図7は、吸着時間がライン充填時間より長くてよい場合の前駆体供給システムの第2の例示的実施形態における弁動作およびタイミングを表すグラフ表示である。図4の表と同様に、図7では、時間がx軸にあって左から右に進み、y軸は弁動作、すなわち、処理チャンバ弁122、フォアライン弁124、アンプル弁114/116、およびバイパス弁112の同じ4つの弁の「開放」または「閉鎖」のいずれかを含む。図からわかるように、前駆体がシステムに充填される前(すなわち、第1の時点752以前)は、処理チャンバ弁122は閉鎖され、フォアライン弁124は開放され、アンプル弁114/116は閉鎖され、バイパス弁は開放されており、それにより押し出しガスが数ある中でも流量制御装置102から流出してバイパス弁112を通り、アンプル104ではなく混合アセンブリ126に流入し、フォアライン130を通って流れるようにしてよい。
図7の第1の時点752付近では、アンプル弁114/116は開きバイパス弁112は閉じてよく、それにより押し出しガスをアンプル104に流入させ、前駆体をシステムに流し始めることができる。この第2の例示的実施形態では、アンプル弁114/116は、処理チャンバ弁122が開く前に開いてよく、吸着時間760と同等または実質的に同等の時間だけ開いてよい。アンプル弁114/116は、第2の時点754付近で処理チャンバ弁122が開いた後であってよい第3の時点756付近で閉じてよい(第1の例示的実施形態と異なる)。いくつかのかかる実施形態では、アンプル弁114/116は、アンプル弁114/116およびチャンバ弁122の両方が共にある期間開放されているように、前駆体が処理チャンバ128に到達して入った後も開いたままであってよい。
図7の第2の時点754付近において、注入工程が開始する。この第2の時点754は、第1の時点752の後に、ライン充填時間762と等しいまたは実質的に等しくてよい時間だけ起きてよい。図7からわかるように、ガスライン部は、この第2の時点754付近で前駆体によって完全に充填されてよい(例えば、アンプル104付近から処理チャンバ128付近のガスライン部は、前駆体を含んでよい)。処理チャンバ弁122はまた、およそ前駆体が処理チャンバ弁122に到達する時であってよい第2の時点754において、または第2の時点754付近に開く。
図8は、注入工程の開始時における第2の例示的実施形態の前駆体供給システムを表す。図8は、図7の第2の時点754付近の本システムを表す。図からわかるように、バイパス弁112は閉鎖され、前駆体は、アンプル104から開放された処理チャンバ弁122を通り処理チャンバ128に流入している。
図7に戻ると、第2の時点754付近と第3の時点756付近との間では、処理チャンバ弁122およびアンプル弁114/116は共に開放されている。上述のように、第3の時点756では、処理チャンバ弁122が開いたままである一方で、アンプル弁114/116は閉じてよい。アンプル弁114/116のこの閉鎖は、アンプル弁114/116が開いた後に(すなわち、第1の時点752付近で)吸着時間760と等しいまたは実質的に等しいだけ起きてよい。図7からさらにわかるように、第3の時点756は、処理チャンバ弁122が閉じる前にライン充填時間762と等しいまたは実質的に等しいだけ起きてよい。
図9は、アンプル弁の閉鎖時における第2の例示的実施形態の前駆体供給システムを表す。図9は、図7の第3の時点756付近の本システムを表している。図からわかるように、バイパス弁112が開放されている一方で、アンプル弁114/116は閉鎖されている。
図7の第4の時点758付近において、処理チャンバ弁122は閉じてフォアライン弁124は開く。上述のように、アンプル弁114/116は、処理チャンバ弁122が閉じる前にライン充填時間762と等しいまたは実質的に等しいだけ閉じてよいため、処理チャンバ弁122が閉じる第4の時点758では、ガスライン部は前駆体をほとんどあるいは全く含んでいなくてよい。
第3の例示的実施形態では、吸着時間はライン充填時間と等しくてよい。図10は、吸着時間がライン充填時間と等しくてよい場合の前駆体供給システムの第3の例示的実施形態における弁動作およびタイミングを表すグラフ表示である。図4および7の表と同様に、時間はx軸にあって左から右に進み、y軸は弁動作、すなわち、処理チャンバ弁122、フォアライン弁124、アンプル弁114/116、およびバイパス弁112の同じ4つの弁の「開放」または「閉鎖」のいずれかを含む。図からわかるように、前駆体がシステムに充填される前(すなわち、第1の時点1052以前)に、処理チャンバ弁122は閉鎖され、フォアライン弁124は開放され、アンプル弁114/116は閉鎖され、バイパス弁は開放されて、それにより押し出しガスが数ある中でも流量制御装置102からバイパス弁112を通り、アンプル104ではなく混合アセンブリ126に流入し、フォアライン130を通って流れるようにしてよい。
図10の第1の時点1052では、アンプル弁114/116は開いてバイパス弁112は閉じてよく、それにより押し出しガスをアンプル104に流入させ、前駆体がシステムに流入し始めるようにする。この第3の例示的実施形態では、アンプル弁114/116は、処理チャンバ弁122が開く前に開いてよく、ライン充填時間1062と同じであってよい吸着時間1060と等しいまたは実質的に等しい時間だけ開いてよい。図10が示すのは、アンプル弁114/116が、ライン充填時間1062と等しいまたは実質的に等しい吸着時間1060と等しいまたは実質的に等しい時間だけ開いていることである。
第2の時点1054では、またはその付近では、2つの事象が起きる。上述のように、アンプル弁114/116は、第2の時点1054において、またはその付近で閉じ、チャンバ弁122は、第2の時点1054において、またはその付近で開いてよい。図11は、注入工程の開始時における第3の例示的実施形態の前駆体供給システムを表す。図11は、図10の第2の時点1054付近における本システムを表している。図からわかるように、バイパス弁112は閉鎖され、前駆体はアンプル104から開放された処理チャンバ弁122を通って処理チャンバ128に流入している。
アンプル弁114/116と同様に、チャンバ弁122は、互いに等しい吸着時間1060およびライン充填時間1062と等しいまたは実質的に等しい時間だけ開いたままであってよい。また、チャンバ弁122は、第3の時点1056付近で閉じてよい。かかる実施形態では、ガスライン部は、第2の時点1054付近における注入工程の開始前に完全に充填される。図12は、注入工程の終了時における第3の例示的実施形態の前駆体供給システムを表す。図12は、図10の第3の時点1056付近における本システムを表している。図からわかるように、押し出しガスがシステムを通ってフォアライン130まで流れるように、バイパス弁112は開放され、アンプル弁114/116は閉鎖され、処理チャンバ弁122は閉鎖され、フォアライン弁124は開放されている。この注入工程の終了時(例えば、時点1056)に、全てまたは実質的に全ての前駆体はガスライン部から排出される。
この第3の例示的実施形態では、第1の時点1052は、システムへの前駆体充填の開始と見なされ、第2の時点1054は、前駆体充填の終了および注入工程の開始の両方と見なされ、第3の時点1056は、注入工程の終了と見なされてよい。
全てのかかる実施形態では、押し出しガスは継続的に流れてよい。この継続的な流れは、前駆体の継続的な流れだけでなく、ガスラインの継続的なパージングを確実にしてよい。アンプル弁114/116が閉鎖されているときは、押し出しガスは、処理チャンバ128に向かって流れ続けるようにバイパス弁112を通ってアンプルを迂回してよい。
いくつかの実施形態では、ガスライン部は、注入工程の終了時に実質的に全ての前駆体を排出してよいが、いくつかの実施形態では、ガスライン部内に残る前駆体があってよい。
いくつかの実施形態では、アンプル弁114/116が開放されてよい期間は、飽和時間および/または吸着時間より長いまたは短くてよい。
上述のように、いくつかの実施形態では、弁動作のタイミングは、ライン充填時間、吸着時間、またはいくつかの他の時間を用いて設定されてよい。さらに、弁動作の基準系は、注入工程の開始、注入工程の停止、注入工程時のあらゆる他の事象、および/またはALDサイクル時のあらゆる事象であってよい。
上述の3つの例示的実施形態を含むいくつかの実施形態では、所望の吸着量に必要以上であってよい一定量の前駆体は、ガスラインを通じて送られてよい。この追加の前駆体はまた、注入工程の開始前、注入工程後、および/または所望の吸着/飽和が達成された後に到達するように送られてもよい。いくつかのかかる実施形態では、注入工程の前および/または後にフォアラインに廃棄される前駆体があってよい。いくつかのかかる実施形態では、アンプル弁が開放されてよい期間、アンプル弁が開くおよび/または閉じてよいタイミング、チャンバ弁が開放されてよい期間、および/またはチャンバ弁が開放されてよいタイミングは、図4から12を含む上述されたものと同じでなくてよい。しかし、廃棄を削減するために、過剰な前駆体は、吸着/飽和に必要な量の約20%以下(または約10%以下)に制限されてよい。
さらに、いくつかの実施形態では、各事象(例えば、アンプル弁の開放、チャンバ弁の閉鎖)は、正確に意図する時点で発生しなくてよい。加えて、各時点における前駆体の位置は、上述ほど正確でなくてよい。いくつかの例では、「実質的に」との用語が少なくともタイミングおよび量に関して用いられており、この単語は、基準項目(例えば、時間または量)の+/−15%の範囲を意味してよい。例えば、吸着時間が0.1秒である場合、吸着時間と実質的に等しい時間は、約0.09秒と約0.11秒との間であってよい。
さらに、弁のタイミングは、ライン充填時間および/または吸着時間と完全に等しくなくてよい。また、弁を開くまたは閉じるのにかかる時間などのシステムの遅延の主要因となるタイミング遅延があってもよい。いくつかの実施形態では、25ミリ秒のタイミング遅延を有してよいALD弁が用いられてよい。同じく25ミリ秒であってよい誤差が本明細書の実施形態内にあってもよい。
加えて、アンプル弁が開くタイミングおよび/またはその開放期間は、ALDサイクルなどの各処理サイクルごとに一定でなくてよい。いくつかの実施形態では、吸着量より少ない、吸着量と同等、または吸着量より多くてよい、各サイクルに望まれる1サイクルあたり完全に同じモル数の前駆体を供給するために、動的フィードバックループが形成されてよい。本明細書で説明されるようなフローオーバー蒸気システムに供給される前駆体の量は、アンプル温度、ヘッドスペース圧力、および押し出しガス流を含むいくつかの要因に依存してよい。1つ以上のこれらのパラメータが堆積期間にわたって意図された設定値から外れるときは(例えば、アンプル内の前駆体レベルの変化によって)、アンプル弁開放時間あたりの供給前駆体量は、それに伴って外れるだろう。フィードバックループは、数ある中でも例えば、アンプル熱電対、圧力計、およびMFCからのセンサデータ(例えば、測定値)ならびに制御装置によって形成されてよい。1つの例では、圧力計(例えば、マノメータ)は、処理チャンバの入口に位置する(例えば、弁122付近)。前駆体の処理チャンバへの到達は、圧力計によって検出された圧力変動によって通知されてよい。かかるフィードバックループに基づいてアンプルの開閉タイミングを動的に制御することによって、前駆体の供給はより頑強になり、サイクル間で繰り返し可能になる。フィードバックループ、測定値、および/または調整は、注入/堆積工程時、サイクルにおける工程の間、サイクルの間、および/または処理の間に行われてよい。
上述のように、吸着時間および/またはライン充填時間を決定するために、1つ以上の処理サイクルの前に、例えば上述され図3に示された測定および/または較正工程が実施されてよい。かかる決定は、例えば、前駆体供給および/もしくは処理パラメータ、および/または例えば上述されたような実験工程に影響を及ぼす1つ以上の要因を検出できるセンサまたは監視装置に基づいて、上述されたものと同様としてよい。収集された情報は、アンプル弁が開放されるタイミングおよび/またはかかる開放の期間(例えば、いつ閉じるか)の調整または変更を行うのに用いられてよい。これは、注入工程の間、注入工程時、サイクルの間、または処理の間に起きてよい。
本明細書で述べる方法、実施例、および実施形態は、半導体処理に対して多くの改善と利益をもたらす。半導体処理のいくつかの重要な目標は、例えば、ウエハスループットの向上、前駆体および廃棄/使用を含む材料の削減、ならびにウエハ均一性の向上または維持を含んでよい。例えば、図13は、本明細書で述べる実施形態を用いることが、少なくともいくつかの状況では、前駆体使用量の削減につながることを示すいくつかの論証的データを表している。図からわかるように、「化学物質使用量」と題された表の右側欄の結果は、0.323グラム/ウエハから0.225グラム/ウエハへの前駆体の削減を示す。最上段は、中間および下段に示されるデータを表す。「通常処理」と題された中間段は、典型的な通常前駆体注入順序を用いる特定の半導体処理のための化学物質使用量を示し、「新しい処理」と題された最下段は、同じ半導体処理の化学物質使用量を示すが、少なくとも部分的に本明細書に述べられる実施形態に従った前駆体供給によるものである。最下段は、同じ注入時間0.15秒で、アンプル弁114/116の開放時間が、堆積速度および膜の均一性への悪影響なしで0.3秒から0.2秒に削減されたことを示す。堆積速度および不均一性%(R/2)(ハーフレンジ不均一性)は、新しい処理を用いて向上したが、それは、アンプル104内の向上した前駆体リフレッシュ速度をもたらしたアンプル弁114/116のより長い閉鎖時間によるものであってよい。アンプル弁114/116のより短い開放時間は、前駆体消費量の削減をもたらした。新しい処理は、前駆体の廃棄を削減する。
本発明のいくつかの他の利点は、削減された前駆体廃棄;停滞したガスライン量の除去によって、常に流れている押し出しガスに起因してよい完全にパージされたガスライン部を有することによって、および/もしくは前駆体の存在下におけるチャンバ弁動作の削除によって引き起こされてよい削減されたウエハ欠陥率;ならびに/または、注入時間および/もしくは注入工程の削減によって向上するスループット、を含むがそれらに限定されない。
いくつかの実施形態では、制御装置はシステムの一部であり、本明細に説明される例の一部であってよい。制御装置は、上述のアンプル弁の開閉論理、または本明細書に述べる他の制御論理などの「論理」を含んでよい。論理は、(ソフトウェア命令を実行するための関連ハードウェアを持つまたは持たない)ソフトウェア、一定の動作を実行するように設計または構成されたハードウェア、およびその組み合わせとして実施されてよい。かかるシステムは、処理ツール、処理チャンバ、処理プラットフォーム、および/または特定の処理部品(ウエハ台座、ガス流量システム、前駆体供給システム、ガスライン、配管、弁、アンプルなど含むがそれらに限定されない)を含む半導体処理装置を備えてよい。これらのシステムは、半導体ウエハまたは基板の処理前、処理時、および処理後にそれらの動作を制御するための電子装置(例えば、論理)に組み込まれてよい。電子装置(または論理)は、システムの様々な部品または副部品を制御できる「制御装置」と呼ばれてよい。システムの様々な部品または副部品は、ガスライン、アンプル、配管、および/または処理チャンバを含んでよいシステムの1つ以上の部分を通る流体の流れを制御するように構成されてよい弁を含むがそれに限定されない。
制御装置は、処理条件および/またはシステムの種類に応じて、処理ガスの供給、温度設定(例えば、加熱および/もしくは冷却)、圧力設定、真空設定、電力設定、周波数(RF)発生器の設定、RF整合回路の設定、周波数設定、流量設定、流体供給設定、位置および操作の設定、アンプルの再充填、ツールおよび他の搬送ツールに対するウエハの搬出入、ガスラインを通る流体の流れ(例えば、前駆体もしくは押し出しガス)、アンプル、混合アセンブリ、および/もしくは処理チャンバ、ならびに/または特定のシステムと接続もしくはインターフェースするロードロックを含む、本明細書に開示される処理のいずれかを制御するようにプログラム、設計、および/または構成されてよい。
概して、制御装置は、命令を受け取り、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、論理、メモリ、および/またはソフトウェアを有する電子装置として定義されてよい。集積回路は、プログラム命令を格納するファームウェア形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または1つ以上のマイクロプロセッサ、もしくはプログラム命令(例えば、ソフトウェア)を実行するマイクロ制御装置を含んでよい。プログラム命令は、様々な個別設定(または、プログラムファイル)の形式で制御装置に伝達される命令であって、特定の処理を半導体ウエハ上でもしくは半導体ウエハ用に、またはシステムに対して実行する動作パラメータを定義してよい。いくつかの実施形態では、動作パラメータは、プロセスエンジニアによって定義されるレシピの一部であって、1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/またはウエハダイの製作時における1つ以上の処理工程を実現してよい。
いくつかの実施形態では、制御装置は、システムと統合または結合された、そうでなければシステムにネットワーク接続された、もしくはこれらが組み合わされたコンピュータの一部であってよく、またはそのコンピュータに結合されてよい。例えば、制御装置は、「クラウド」内にあってよい、または、ウエハ処理のリモートアクセスを可能にする全てもしくは一部のファブホストコンピュータシステムであってよい。コンピュータは、システムへのリモートアクセスを可能にして、製作操作の進捗状況を監視し、過去の製作操作の経歴を調査し、複数の製作操作から傾向または実施の基準を調査し、現在の処理のパラメータを変更し、現在の処理に続く処理工程を設定し、または新しい処理を開始してよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ネットワークを通じてプロセスレシピをシステムに提供でき、ネットワークにはローカルネットワークまたはインターネットを含んでよい。リモートコンピュータは、次にリモートコンピュータからシステムに連通されるパラメータおよび/もしくは設定のエントリまたはプログラミングを可能にするユーザインターフェースを含んでよい。いくつかの例では、制御装置は、1つ以上の操作時に実施される各処理工程のためのパラメータを特定するデータ形式の命令を受け取る。パラメータは、実施される処理の種類および、制御装置が接続または制御するように構成されているツールの種類に特有であってよいことを理解されたい。そのため、上述のように、制御装置は、例えば、互いにネットワーク接続されている1つ以上の離散制御装置を含み、本明細書で述べる処理や制御など共通の目的に向かって取り組むことにより配置されてよい。かかる目的で配置された制御装置の例は、遠隔に(例えば、プラットフォームレベルまたは遠隔コンピュータの一部として)位置し、組み合わせてチャンバ上の処理を制御する1つ以上の集積回路と連通しているチャンバ上の1つ以上の集積回路である。
無制限には、例示のシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、クリーンチャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに半導体ウエハの製作および/もしくは製造において関連してよいもしくは用いられてよいその他の半導体処理システムを含んでよい。
上述のように、ツールによって実施される処理工程に応じて、制御装置は、1つ以上の他のツール回路もしくはモジュール、他のツール部品(例えば、前駆体供給システム)、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、工場全体に設置されたツール、メインコンピュータ、別の制御装置、または半導体製造工場においてウエハ容器をツール位置および/もしくはロードポートに搬入出する材料搬送において用いられるツールと連通してよい。
いくつかの実施形態では、制御装置は、少なくともメモリおよび1つ以上のプロセッサを有してよく、メモリは、本明細書に述べる実施形態を動作するのに必要な機能を実行するための命令を備えてよい。他の実施形態では、制御装置は、特別に設計されたプロセッサを備え、ソフトウェア命令の制御下で動いても動かなくてもよい。制御装置は、前駆体供給システムおよび/または半導体処理システムを含んでよい半導体処理装置の1つ以上の態様を制御するように構成されてよい。図14は、前駆体供給システムを含む半導体処理装置のための例示的な制御装置を表す。図からわかるように、制御装置1464は、メモリ1466とプロセッサ1468とを備え、プロセッサは、半導体処理装置1470および、半導体処理装置1470の一部である前駆体供給システム1400に通信可能に接続される。上述のように、メモリ1466は、数ある中でも、前駆体供給システム1400を制御するための命令を含んでよい。例えば、メモリ1466は、1つ以上のアンプル弁、アンプル、1つ以上の処理チャンバ弁、1つ以上のフォアライン弁、ならびにシステムを流れる前駆体および/または押し出しガスの流れを含むがそれに制限されない前駆体供給システムの他の態様を制御するための命令を含んでよい。
上述のように、いくつかの実施形態では、前駆体供給のためのシステムは、前駆体を含むように構成され、基板処理装置(例えば、半導体処理装置)、流量制御装置、ならびに、アンプル、流量制御装置、混合アセンブリ、および処理チャンバを流体接続してかかるシステムを通る流体の流れを制御するように構成されてよい配管(例えば、ガスライン、弁、制御部)の部品になるように構成されたアンプルを含むがそれに限定されない1つ以上の上記部品を備えてよい。
本開示の内容が明確に要求しない限り、本説明および実施例を通して、「含む」、「備える」などの単語は、排他的または網羅的な意味ではない包括的な意味、すなわち、「含むが限定されない」との意味で解釈されなければならない。また、単数形または複数形を用いた単語は、通常、それぞれ複数または単数を含む。さらに、「本明細書で」、「以下の明細書で」、「上記に」、「以下に」、および同様の意味を持つ単語は、本出願全体を指し、本出願の特定の部分を指すものではない。2つ以上の項目の一覧を参照に「または」の単語が用いられるときは、その単語は、一覧のいずれかの項目、一覧の全ての項目、および一覧の項目のいずれかの組み合わせ、の全ての単語の解釈を網羅する。「実施形態」との用語は、本明細書で説明される技術および方法の実施形態だけでなく、構造を具現化する物理的対象ならびに/または本明細書で説明される技術および/もしくは方法を組み込む物理的対象も意味する。
本明細書には、多くの概念および実施形態が説明および記載されている。本明細書に記載される実施形態の一定の特徴、特性、および利点が説明および記載されているが、本開示の異なるおよび/または同様の実施形態、特徴、特性、および利点だけでなく多くの他の事も説明および記載から明らかであることを理解されたい。そのため、上記の実施形態は例示にすぎず、開示された正確な形式、技術、材料、および/または構成に対して網羅的、またはそれらに本開示を限定することを意図しない。本開示に照らして多くの修正および変更が可能である。本開示の範囲を逸脱することなく他の実施形態が用いられてよく、操作変更が行われてよいことを理解されたい。そのため、上記の実施形態の説明は、記載および説明の目的で提示されているため、本開示の範囲は上記の説明だけに制限されない。
重要なことには、本開示は、どの態様にも実施形態にも限定されず、またかかる態様および/または実施形態のどの組み合わせおよび/または並べ替えにも限定されない。さらに、本開示の各態様および/またはその実施形態は、単独で、または1つ以上のその他の態様および/または実施形態と組み合わせて用いられてよい。簡潔にするために、これらの並べ替えおよび組み合わせの多くは、本明細書では別個で記載および/または説明されない。
いずれの係属請求項も、「ミーンズ・プラス・ファンクション」または「ステップ・プラス・ファンクション」形式で提示された限定を含まない(合衆国法典第35巻第112条(f)参照)。合衆国法典第35巻第112条(f)に基づきまたは従って解釈される請求項の限定はないことが出願者の意図である。
本発明は、たとえば、以下のような態様で実現することもできる。
適用例1:
半導体処理装置において前駆体の流れを制御するための方法であって、
(a)ガスラインを通じてガスを流すことと、
(b)注入工程の前にアンプルの1つ以上の弁を開放して、前記ガスラインを通る前記アンプルから処理チャンバへの前駆体の流れを開始することと、
(c)アンプルの前記1つ以上の弁を閉鎖して、前記前駆体が前記アンプルから流出しないようにすることと、
(d)前記注入工程の開始時に処理チャンバ弁を開放して、前記前駆体の流れが前記処理チャンバに入るようにすることと、
(e)前記注入工程の終了時に前記処理チャンバ弁を閉鎖して、前記前駆体の流れが前記処理チャンバに入らないようにすることと、
を備える、方法。
適用例2:
適用例1の方法であって、
(b)におけるアンプルの1つ以上の弁を開放することは、前記注入工程の前にライン充填時間と実質的に等しい時間だけ起き、
前記ライン充填時間は、前駆体が前記アンプルから前記処理チャンバに流れるのに必要な時間である、方法。
適用例3:
適用例1の方法であって、
(c)におけるアンプルの前記1つ以上の弁を閉鎖することは、(e)における前記処理チャンバ弁の閉鎖前にライン充填時間と実質的に等しい時間だけ起き、
前記ライン充填時間は、前駆体が前記アンプルから前記処理チャンバに流れるのに必要な時間である、方法。
適用例4:
適用例1の方法であって、
(d)における処理チャンバ弁を開放することは、(b)における前記アンプルの前記弁の開放後にライン充填時間と実質的に等しい時間だけ起き、
前記ライン充填時間は、前駆体が前記アンプルから前記処理チャンバに流れるのに必要な時間である、方法。
適用例5:
適用例1の方法であって、
(e)における前記処理チャンバ弁を閉鎖することは、(c)におけるアンプルの前記1つ以上の弁の閉鎖後にライン充填時間と実質的に等しい時間だけ起き、
前記ライン充填時間は、前駆体が前記アンプルから前記処理チャンバに流れるのに必要な時間である、方法。
適用例6:
適用例1、2、4、および5のいずれか1項の方法であって、
(c)における前記アンプルの前記1つ以上の流量弁を閉鎖することは、(b)における前記アンプルの前記流量弁の開放後に吸着時間と実質的に等しい時間だけ起きる、方法。
適用例7:
適用例1から4のいずれか1項の方法であって、
(e)における前記処理チャンバ弁を閉鎖することは、(d)における前記処理チャンバ弁の開放後に吸着時間と実質的に等しい時間だけ起きる、方法。
適用例8:
適用例1の方法であって、
(b)におけるアンプルの1つ以上の弁を開放することは、前記注入工程の開始前にライン充填時間と実質的に等しい時間だけ起き、前記ライン充填時間は、前駆体が前記アンプルから前記処理チャンバに流れるのに必要な時間であり、
(c)における前記アンプルの前記1つ以上の流量弁を閉鎖することは、(b)における前記アンプルの前記流量弁の開放後に吸着時間と実質的に等しい時間だけ起き、
(d)における処理チャンバ弁を開放することは、(b)における前記アンプルの前記弁の開放後に前記ライン充填時間と実質的に等しい時間だけ起き、
(e)における前記処理チャンバ弁を閉鎖することは、(d)における前記処理チャンバ弁の開放後に前記吸着時間と実質的に等しい時間だけ起きる、方法。
適用例9:
適用例8の方法であって、
前記吸着時間は、前記ライン充填時間より短い時間、前記ライン充填時間より長い時間、および前記ライン充填時間と等しい時間からなる群から選択される、方法。
適用例10:
適用例1、3、4、および5のいずれか1項の方法であって、
前記アンプルの前記1つ以上の弁は、前記注入工程の前に開放されて、前記注入工程の開始時に前記前駆体を前記処理チャンバに到達させる、方法。
適用例11:
適用例1、3、4、および5のいずれか1項の方法であって、
前記アンプルの前記1つ以上の弁は、前記注入工程の前に開放されて、前記注入工程の開始時に前記前駆体が前記ガスラインを少なくとも部分的に満たすようにする、方法。
適用例12:
適用例1、2、4、および5のいずれか1項の方法であって、
前記アンプルの前記1つ以上の弁は、前記注入工程の終了前に閉鎖されて、前記注入工程の終了時に前駆体が前記ガスラインに実質的に残らないようにする、方法。
適用例13:
適用例1、2、4、および5のいずれか1項の方法であって、
前記アンプルの前記1つ以上の弁は、ウエハ上に所望の吸着を達成するように、一定量の前駆体が前記ガスラインに入った後に、閉鎖される、方法。
適用例14:
適用例1−4のいずれか1項の方法であって、
前記処理チャンバの前記弁は、ウエハ上への所望の吸着が起きた後に閉鎖される、方法。
適用例15:
適用例1から4のいずれか1項の方法であって、
前記処理チャンバ弁の前記弁は、前駆体が前記ガスラインに実質的に残ってないときに閉鎖される、方法。
適用例16:
適用例1から5のいずれか1項の方法であって、さらに、
ライン充填時間を決定することを備え、前記ライン充填時間は、前駆体が前記アンプルから前記処理チャンバに流れるのに必要な時間である、方法。
適用例17:
前駆体供給システムを含む半導体処理装置のための制御装置であって、
少なくとも1つのメモリと、
前記少なくとも1つのメモリと通信可能に接続され、前記半導体処理装置および前記前駆体供給システムと通信可能に接続されるように構成されている、少なくとも1つのプロセッサと、を備え、
前記少なくとも1つのメモリは、
ガスラインを通るガスの流れを発生させることと、
注入工程の前にアンプルの1つ以上の弁を開放して、前記ガスラインを通る前記アンプルから処理チャンバへの前駆体の流れを開始することと、
アンプルの前記1つ以上の弁を閉鎖して、前記前駆体が前記アンプルから流出しないようにすることと、
前記注入工程の開始時に処理チャンバ弁を開放して、前記前駆体の流れが前記処理チャンバに入るようにすることと、
前記注入工程の終了時に前記処理チャンバ弁を閉鎖して、前記前駆体の流れが前記処理チャンバに入らないようにすることと、
を行うように、前記少なくとも1つのプロセッサを制御するためのコンピュータ実行可能な命令を格納する、制御装置。
適用例18:
適用例17の制御装置であって、前記メモリは、さらに、
前記注入工程の前に前記アンプルの前記1つ以上の弁を開放して、前記注入工程の開始時に前記前駆体を前記処理チャンバに到達させること
を行うように、前記少なくとも1つのプロセッサを制御するためのコンピュータ実行可能な命令を格納する、制御装置。
適用例19:
適用例17または適用例18のいずれかの制御装置であって、前記メモリは、さらに、
前記注入工程の前に前記アンプルの前記1つ以上の弁を閉鎖して、前記注入工程の終了時に前駆体が前記ガスラインに実質的に残らないようにすること
を行うように、前記少なくとも1つのプロセッサを制御するためのコンピュータ実行可能な命令を格納する、制御装置。
適用例20:
適用例17または適用例18のいずれかの制御装置であって、前記メモリは、さらに、
ウエハ上に所望の吸着を達成するように、一定量の前駆体が前記ガスラインに入った後に、前記アンプルの前記1つ以上の弁を閉鎖すること
を行うように、前記少なくとも1つのプロセッサを制御するためのコンピュータ実行可能な命令を格納する、制御装置。
適用例21:
前駆体供給システムであって、
アンプルと、
前記アンプルおよび処理チャンバに流体接続するための複数のガスラインと、
少なくとも前記複数のガスラインを通って前記アンプルに出入りし前記処理チャンバに入る流体の流れを制御するように構成されている複数の弁と、
前記前駆体供給システムにおいて流体の流れを制御するための制御装置と、を備え、
前記制御装置は、
ガスラインを通るガスの流れを発生させ、
注入工程の前にアンプルの1つ以上の弁を開放して、前記ガスラインを通る前記アンプルから処理チャンバへの前駆体の流れを開始し、
アンプルの前記1つ以上の弁を閉鎖して、前記前駆体が前記アンプルから流出しないようにし、
前記注入工程の開始時に処理チャンバ弁を開放して、前記前駆体の流れが前記処理チャンバに入るようにし、
前記注入工程の終了時に前記処理チャンバ弁を閉鎖して、前記前駆体の流れが前記処理チャンバに入らないようにする、
ための制御論理を含む、前駆体供給システム。

Claims (43)

  1. 半導体処理装置において前駆体の流れを制御するための方法であって、
    (a)ガスラインを通じてガスを流すことと、
    (b)注入工程の前にアンプルの1つ以上の弁を開放して、前記ガスラインを通る前記アンプルから処理チャンバへの前駆体の流れを開始することと、
    (c)アンプルの前記1つ以上の弁を閉鎖して、前記前駆体が前記アンプルから流出しないようにすることと、
    (d)前記注入工程の開始時に処理チャンバ弁を開放して、前記前駆体の流れが前記処理チャンバに入るようにすることと、
    (e)前記注入工程の終了時に前記処理チャンバ弁を閉鎖して、前記前駆体の流れが前記処理チャンバに入らないようにすることと、
    を備える、方法。
  2. 請求項1に記載の方法であって、
    (b)におけるアンプルの1つ以上の弁を開放することは、前記注入工程の前にライン充填時間と実質的に等しい時間だけ起き、
    前記ライン充填時間は、前駆体が前記アンプルから前記処理チャンバに流れるのに必要な時間である、方法。
  3. 請求項1に記載の方法であって、
    (c)におけるアンプルの前記1つ以上の弁を閉鎖することは、(e)における前記処理チャンバ弁の閉鎖前にライン充填時間と実質的に等しい時間だけ起き、
    前記ライン充填時間は、前駆体が前記アンプルから前記処理チャンバに流れるのに必要な時間である、方法。
  4. 請求項1に記載の方法であって、
    (d)における処理チャンバ弁を開放することは、(b)における前記アンプルの前記弁の開放後にライン充填時間と実質的に等しい時間だけ起き、
    前記ライン充填時間は、前駆体が前記アンプルから前記処理チャンバに流れるのに必要な時間である、方法。
  5. 請求項1に記載の方法であって、
    (e)における前記処理チャンバ弁を閉鎖することは、(c)におけるアンプルの前記1つ以上の弁の閉鎖後にライン充填時間と実質的に等しい時間だけ起き、
    前記ライン充填時間は、前駆体が前記アンプルから前記処理チャンバに流れるのに必要な時間である、方法。
  6. 請求項1、2、4、および5のいずれか1項に記載の方法であって、
    (c)における前記アンプルの前記1つ以上の弁を閉鎖することは、(b)における前記アンプルの前記弁の開放後に吸着時間と実質的に等しい時間だけ起きる、方法。
  7. 請求項1から4のいずれか1項に記載の方法であって、
    (e)における前記処理チャンバ弁を閉鎖することは、(d)における前記処理チャンバ弁の開放後に吸着時間と実質的に等しい時間だけ起きる、方法。
  8. 請求項1に記載の方法であって、
    (b)におけるアンプルの1つ以上の弁を開放することは、前記注入工程の開始前にライン充填時間と実質的に等しい時間だけ起き、前記ライン充填時間は、前駆体が前記アンプルから前記処理チャンバに流れるのに必要な時間であり、
    (c)における前記アンプルの前記1つ以上の弁を閉鎖することは、(b)における前記アンプルの前記弁の開放後に吸着時間と実質的に等しい時間だけ起き、
    (d)における処理チャンバ弁を開放することは、(b)における前記アンプルの前記弁の開放後に前記ライン充填時間と実質的に等しい時間だけ起き、
    (e)における前記処理チャンバ弁を閉鎖することは、(d)における前記処理チャンバ弁の開放後に前記吸着時間と実質的に等しい時間だけ起きる、方法。
  9. 請求項8に記載の方法であって、
    前記吸着時間は、前記ライン充填時間より短い時間、前記ライン充填時間より長い時間、および前記ライン充填時間と等しい時間からなる群から選択される、方法。
  10. 請求項1、3、4、および5のいずれか1項に記載の方法であって、
    前記アンプルの前記1つ以上の弁は、前記注入工程の前に開放されて、前記注入工程の開始時に前記前駆体を前記処理チャンバに到達させる、方法。
  11. 請求項1、3、4、および5のいずれか1項に記載の方法であって、
    前記アンプルの前記1つ以上の弁は、前記注入工程の前に開放されて、前記注入工程の開始時に前記前駆体が前記ガスラインを少なくとも部分的に満たすようにする、方法。
  12. 請求項1、2、4、および5のいずれか1項に記載の方法であって、
    前記アンプルの前記1つ以上の弁は、前記注入工程の終了前に閉鎖されて、前記注入工程の終了時に前駆体が前記ガスラインに実質的に残らないようにする、方法。
  13. 請求項1、2、4、および5のいずれか1項に記載の方法であって、
    前記アンプルの前記1つ以上の弁は、ウエハ上に所望の吸着を達成するように、一定量の前駆体が前記ガスラインに入った後に、閉鎖される、方法。
  14. 請求項1から4のいずれか1項に記載の方法であって、
    前記処理チャンバの前記弁は、ウエハ上への所望の吸着が起きた後に閉鎖される、方法。
  15. 請求項1から4のいずれか1項に記載の方法であって、
    前記処理チャンバの前記弁は、前駆体が前記ガスラインに実質的に残ってないときに閉鎖される、方法。
  16. 請求項1から5のいずれか1項に記載の方法であって、さらに、
    ライン充填時間を決定することを備え、前記ライン充填時間は、前駆体が前記アンプルから前記処理チャンバに流れるのに必要な時間である、方法。
  17. 前駆体供給システムを含む半導体処理装置のための制御装置であって、
    少なくとも1つのメモリと、
    前記少なくとも1つのメモリと通信可能に接続され、前記半導体処理装置および前記前駆体供給システムと通信可能に接続されるように構成されている、少なくとも1つのプロセッサと、を備え、
    前記少なくとも1つのメモリは、
    ガスラインを通るガスの流れを発生させることと、
    注入工程の前にアンプルの1つ以上の弁を開放して、前記ガスラインを通る前記アンプルから処理チャンバへの前駆体の流れを開始することと、
    アンプルの前記1つ以上の弁を閉鎖して、前記前駆体が前記アンプルから流出しないようにすることと、
    前記注入工程の開始時に処理チャンバ弁を開放して、前記前駆体の流れが前記処理チャンバに入るようにすることと、
    前記注入工程の終了時に前記処理チャンバ弁を閉鎖して、前記前駆体の流れが前記処理チャンバに入らないようにすることと、
    を行うように、前記少なくとも1つのプロセッサを制御するためのコンピュータ実行可能な命令を格納する、制御装置。
  18. 請求項17に記載の制御装置であって、前記メモリは、さらに、
    前記注入工程の前に前記アンプルの前記1つ以上の弁を開放して、前記注入工程の開始時に前記前駆体を前記処理チャンバに到達させること
    を行うように、前記少なくとも1つのプロセッサを制御するためのコンピュータ実行可能な命令を格納する、制御装置。
  19. 請求項17または請求項18のいずれかに記載の制御装置であって、前記メモリは、さらに、
    前記注入工程の前に前記アンプルの前記1つ以上の弁を閉鎖して、前記注入工程の終了時に前駆体が前記ガスラインに実質的に残らないようにすること
    を行うように、前記少なくとも1つのプロセッサを制御するためのコンピュータ実行可能な命令を格納する、制御装置。
  20. 請求項17または請求項18のいずれかに記載の制御装置であって、前記メモリは、さらに、
    ウエハ上に所望の吸着を達成するように、一定量の前駆体が前記ガスラインに入った後に、前記アンプルの前記1つ以上の弁を閉鎖すること
    を行うように、前記少なくとも1つのプロセッサを制御するためのコンピュータ実行可能な命令を格納する、制御装置。
  21. 前駆体供給システムであって、
    アンプルと、
    前記アンプルおよび処理チャンバに流体接続するための複数のガスラインと、
    少なくとも前記複数のガスラインを通って前記アンプルに出入りし前記処理チャンバに入る流体の流れを制御するように構成されている複数の弁と、
    前記前駆体供給システムにおいて流体の流れを制御するための制御装置と、を備え、
    前記制御装置は、
    ガスラインを通るガスの流れを発生させ、
    注入工程の前にアンプルの1つ以上の弁を開放して、前記ガスラインを通る前記アンプルから処理チャンバへの前駆体の流れを開始し、
    アンプルの前記1つ以上の弁を閉鎖して、前記前駆体が前記アンプルから流出しないようにし、
    前記注入工程の開始時に処理チャンバ弁を開放して、前記前駆体の流れが前記処理チャンバに入るようにし、
    前記注入工程の終了時に前記処理チャンバ弁を閉鎖して、前記前駆体の流れが前記処理チャンバに入らないようにする、
    ための制御論理を含む、前駆体供給システム。
  22. 半導体処理装置において前駆体の流れを制御するための方法であって、
    (a)ガスラインを通じてガスを流すことと、
    (b)注入工程の前にアンプルの1つ以上の弁を開放して、前記ガスラインを通る前記アンプルから処理チャンバへの前駆体の流れを開始することと、
    (c)アンプルの前記1つ以上の弁を閉鎖して、前記前駆体が前記アンプルから流出しないようにすることと、
    (d)前記注入工程の開始時であって、(b)における前記アンプルの前記1つ以上の弁の開放後に、ライン充填時間と実質的に等しい時間だけ処理チャンバ弁を開放して、前記前駆体の流れが前記処理チャンバに入るようにすることであって、前記ライン充填時間は、前駆体が前記アンプルから前記処理チャンバに流れるのに必要な時間であることと、
    (e)前記注入工程の終了時に前記処理チャンバ弁を閉鎖して、前記前駆体の流れが前記処理チャンバに入らないようにすることと、
    を備える、方法。
  23. 半導体処理装置において前駆体の流れを制御するための方法であって、
    (a)ガスラインを通じてガスを流すことと、
    (b)注入工程の前に、ライン充填時間と実質的に等しい時間だけアンプルの1つ以上の弁を開放して、前記ガスラインを通る前記アンプルから処理チャンバへの前駆体の流れを開始することであって、前記ライン充填時間は、前駆体が前記アンプルから前記処理チャンバに流れるのに必要な時間であることと、
    (c)(b)におけるアンプルの前記1つ以上の弁の開放後に、前記注入工程の所望の吸着時間と実質的に等しい時間だけ前記アンプルの前記1つ以上の弁を閉鎖して、前記前駆体が前記アンプルから流出しないようにすることと、
    (d)前記注入工程の開始時であって、(b)における前記アンプルの前記1つ以上の弁の開放後に、前記ライン充填時間と実質的に等しい時間だけ処理チャンバ弁を開放することと、
    (e)前記注入工程の終了時であって、(d)における前記処理チャンバの開放後に、前記所望の吸着時間と実質的に等しい時間だけ前記処理チャンバ弁を閉鎖して、前記前駆体の流れが前記処理チャンバに入らないようにすることと、
    を備える、方法。
  24. 半導体処理装置において前駆体の流れを制御するための方法であって、
    (a)注入工程の前に、ライン充填時間と実質的に等しい時間だけ前駆体源から処理チャンバへの前駆体の流れを開始することであって、前記ライン充填時間は、前駆体が前記前駆体源から前記処理チャンバに流れるのに必要な時間であることと、
    (b)前記前駆体が前記前駆体源から流出しないようにすることと、
    (c)前記注入工程の開始時に処理チャンバ弁を開放して、前記前駆体の流れが前記処理チャンバに入るようにすることと、
    (d)前記注入工程の終了時に前記処理チャンバ弁を閉鎖して、前記前駆体の流れが前記処理チャンバに入らないようにすることと、
    を備える、方法。
  25. 請求項24に記載の方法であって、
    前記前駆体は、液体状態である、方法。
  26. 請求項24に記載の方法であって、
    前記前駆体は、蒸気状態である、方法。
  27. 請求項24に記載の方法であって、
    (a)は、さらに、ガスラインを通じて前記前駆体を前記処理チャンバに移動させるガスを流すことを備える、方法。
  28. 請求項27に記載の方法であって、
    前記ガスは、1つ以上の不活性ガスまたは非不活性ガスを含む、方法。
  29. 請求項24に記載の方法であって、
    前記前駆体は、金属、酸化物、シリコン、および二酸化シリコンの層を堆積させるための1つ以上の材料を含む、方法。
  30. 半導体処理装置において前駆体の流れを制御するための方法であって、
    (a)ガスラインを通じてガスを流すことと、
    (b)ライン充填時間と実質的に等しい時間だけ前駆体が処理チャンバ内のウエハに送られる処理工程の前にアンプルの1つ以上の弁を開放して、前記ガスラインを通る前記アンプルから前記処理チャンバへの前駆体の流れを開始することであって、前記ライン充填時間は、前駆体が前記アンプルから前記処理チャンバに流れるのに必要な時間であることと、
    (c)アンプルの前記1つ以上の弁を閉鎖して、前記前駆体が前記アンプルから流出しないようにすることと、
    (d)前駆体が処理チャンバ内の前記ウエハに送られる前記処理工程の開始時に処理チャンバ弁を開放して、前記前駆体の流れが前記処理チャンバに入るようにすることと、
    (e)前駆体が前記処理チャンバ内の前記ウエハに送られる前記処理工程の終了時に前記処理チャンバ弁を閉鎖して、前記前駆体の流れが前記処理チャンバに入らないようにすることと、
    を備える、方法。
  31. 請求項30に記載の方法であって、
    前記処理工程は、前記ウエハ上への前記前駆体の吸着を含む、方法。
  32. 請求項30に記載の方法であって、
    前記処理工程は、前記前駆体の膜または層への変換を含む、方法。
  33. 請求項32に記載の方法であって、
    前記処理工程は、さらに、プラズマを用いて前記前駆体の反応を活性化させることを含む、方法。
  34. 請求項32に記載の方法であって、
    前記処理工程は、さらに、第2の処理ガスを前記処理チャンバに流すことを含み、
    前記第2の処理ガスは、前記前駆体の反応を活性化させる、方法。
  35. 前駆体供給システムであって、
    アンプルと、
    前記アンプルおよび処理チャンバに流体接続するための複数のガスラインと、
    少なくとも前記複数のガスラインを通って前記アンプルに出入りし前記処理チャンバに入る流体の流れを制御するように構成されている複数の弁と、
    前記アンプルから前記処理チャンバへの前駆体の流れに関連付けられた要因に関するセンサデータを生成するように構成されている1つ以上のセンサと、
    前記前駆体供給システムにおいて流体の流れを制御するための制御装置と、を備え、
    前記制御装置は、
    注入工程の前に、第1のライン充填時間と実質的に等しい時間だけ前記アンプルの1つ以上の弁を開放して、前記ガスラインを通る前記アンプルから前記処理チャンバへの前駆体の流れを開始する処理であって、前記第1のライン充填時間は、前駆体が前記アンプルから前記処理チャンバに流れるのに必要な時間である、処理と、
    前記アンプルの前記1つ以上の弁を閉鎖して、前記前駆体が前記アンプルから流出しないようにする、処理と、
    前記前駆体の流れに関連付けられた要因に関するセンサデータに基づいて、次の注入工程のために前記アンプルの前記1つ以上の弁の開閉のタイミングを調整する処理と、
    を行わせるための制御論理を含む、前駆体供給システム。
  36. 請求項35に記載の前駆体供給システムであって、
    前記前駆体の流れに関連付けられた前記要因は、アンプル温度、前記アンプル内のヘッドスペース圧、および押し出しガス流のうちの1つ以上である、前駆体供給システム。
  37. 請求項35に記載の前駆体供給システムであって、
    前記1つ以上のセンサは、アンプル温度計、圧力計、およびマスフローコントローラのうちの1つ以上を含む、前駆体供給システム。
  38. 請求項35に記載の前駆体供給システムであって、さらに、
    前記処理チャンバを備え、
    前記1つ以上のセンサは、前記処理チャンバの入口に位置し、前記処理チャンバへのガス流の圧力変動を検出するように構成されている圧力計を含み、
    前記センサデータは、前記処理チャンバへのガス流の圧力変動を含み、
    前記調整は、前記センサデータに基づく、前駆体供給システム。
  39. 請求項35に記載の前駆体供給システムであって、
    前記制御装置は、さらに、前記センサデータに基づいて、吸着時間およびライン充填時間のうちの1つ以上を決定するための制御論理を含み、
    前記調整は、前記決定に基づく、前駆体供給システム。
  40. 半導体処理装置であって、
    ウエハを受け取るように構成されている処理チャンバと、
    アンプルと、
    前記アンプルおよび前記処理チャンバに流体接続するための複数のガスラインと、
    少なくとも前記複数のガスラインを通って前記アンプルに出入りし前記処理チャンバに入る流体の流れを制御するように構成されている複数の弁と、
    制御装置と、を備え、
    前記制御装置は、
    ガスラインを通じてガスを流す処理と、
    注入工程の前に、ライン充填時間と実質的に等しい時間だけアンプルの1つ以上の弁を開放して、前記ガスラインを通る前記アンプルから前記処理チャンバへの前駆体の流れを開始する処理であって、前記ライン充填時間は、前駆体が前記アンプルから前記処理チャンバに流れるのに必要な時間である、処理と、
    アンプルの前記1つ以上の弁を閉鎖して、前記前駆体が前記アンプルから流出しないようにする処理と、
    前記注入工程の開始時に処理チャンバ弁を開放して、前記前駆体の流れが前記処理チャンバに入るようにする処理と、
    前記注入工程の終了時に前記処理チャンバ弁を閉鎖して、前記前駆体の流れが前記処理チャンバに入らないようにする処理と、
    を行わせるための制御論理を含む、半導体処理装置。
  41. 請求項40に記載の半導体処理装置であって、
    前記制御装置は、さらに、
    前記アンプルの前記1つ以上の弁の開放後に、前記注入工程の所望の吸着時間と実質的に等しい時間だけ前記アンプルの前記1つ以上の弁を閉鎖する処理と、
    前記アンプルの前記1つ以上の弁の開放後に、前記ライン充填時間と実質的に等しい時間だけ前記処理チャンバ弁を開放する処理と、
    前記処理チャンバ弁の開放後に、前記所望の吸着時間と実質的に等しい時間だけ起きる、前記処理チャンバ弁を閉鎖する処理と、
    を行わせるための制御論理を含む、半導体処理装置。
  42. 請求項40に記載の半導体処理装置であって、
    前記制御装置は、さらに、前記注入工程の前に前記アンプルの前記1つ以上の弁を開放して、前記注入工程の開始時に前記前駆体を前記処理チャンバに到達させるための制御論理を含む、半導体処理装置。
  43. 請求項40に記載の半導体処理装置であって、
    前記制御装置は、さらに、ウエハ上に所望の吸着を達成するために、一定量の前駆体が前記ガスラインに入った後に前記アンプルの前記1つ以上の弁を閉鎖するための制御論理を含む、半導体処理装置。
JP2016192428A 2015-10-02 2016-09-30 原子層堆積のための動的前駆体注入 Active JP6804920B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562236780P 2015-10-02 2015-10-02
US62/236,780 2015-10-02
US14/929,073 2015-10-30
US14/929,073 US10094018B2 (en) 2014-10-16 2015-10-30 Dynamic precursor dosing for atomic layer deposition

Publications (3)

Publication Number Publication Date
JP2017085088A JP2017085088A (ja) 2017-05-18
JP2017085088A5 JP2017085088A5 (ja) 2019-11-07
JP6804920B2 true JP6804920B2 (ja) 2020-12-23

Family

ID=58446674

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016192428A Active JP6804920B2 (ja) 2015-10-02 2016-09-30 原子層堆積のための動的前駆体注入

Country Status (6)

Country Link
US (2) US10094018B2 (ja)
JP (1) JP6804920B2 (ja)
KR (1) KR20170044016A (ja)
CN (2) CN112086381A (ja)
SG (2) SG10202003055WA (ja)
TW (1) TWI737630B (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11970772B2 (en) 2014-08-22 2024-04-30 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US10094018B2 (en) 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US11393703B2 (en) 2018-06-18 2022-07-19 Applied Materials, Inc. Apparatus and method for controlling a flow process material to a deposition chamber
TWI821363B (zh) * 2018-08-31 2023-11-11 美商應用材料股份有限公司 前驅物遞送系統
CN109881180B (zh) * 2019-01-31 2020-07-07 华中科技大学 一种用于微纳米颗粒的快速循环原子层沉积设备
KR20210026898A (ko) 2019-09-02 2021-03-10 삼성전자주식회사 가스 공급기 및 이를 포함하는 박막 증착 장치
JP6857760B2 (ja) * 2020-02-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11566327B2 (en) 2020-11-20 2023-01-31 Applied Materials, Inc. Methods and apparatus to reduce pressure fluctuations in an ampoule of a chemical delivery system
US11487304B2 (en) * 2021-01-08 2022-11-01 Applied Materials, Inc. Process fluid path switching in recipe operations

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1813318C3 (de) 1968-12-07 1974-01-03 Alexander 2000 Hamburg Kueckens Zeitgesteuerte Dosiervorrichtung für flüssige Medien aus festen und elastischen Behältern
JPS63136614A (ja) 1986-11-28 1988-06-08 Hitachi Ltd 処理装置
JP3250111B2 (ja) 1992-06-16 2002-01-28 株式会社日立製作所 光磁気ディスク装置
US5465766A (en) 1993-04-28 1995-11-14 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
JP3380610B2 (ja) * 1993-11-30 2003-02-24 株式会社サムコインターナショナル研究所 液体原料cvd装置
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
TW535216B (en) 1996-09-13 2003-06-01 Tokyo Electron Ltd Photoresist processing method and photoresist processing system
TW382749B (en) 1996-12-24 2000-02-21 Tokyo Electron Ltd Liquid supplying device
JPH11312649A (ja) 1998-04-30 1999-11-09 Nippon Asm Kk Cvd装置
JP2004031782A (ja) 2002-06-27 2004-01-29 Sumitomo Chem Co Ltd 有機金属ガス供給装置
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US20040093938A1 (en) 2002-11-15 2004-05-20 Chung-Te Tsai Liquid in pipeline and liquid level detection and warning system
DE10307672A1 (de) 2003-02-21 2004-09-09 Krohne Meßtechnik GmbH & Co KG Verfahren zum Abfüllen eines flüssigen oder schüttbaren Mediums in ein Behältnis
JP2005056918A (ja) * 2003-08-06 2005-03-03 Hitachi Kokusai Electric Inc 基板処理装置
US20050095859A1 (en) 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP5264039B2 (ja) * 2004-08-10 2013-08-14 東京エレクトロン株式会社 薄膜形成装置及び薄膜形成方法
JP4626956B2 (ja) * 2004-10-18 2011-02-09 東京エレクトロン株式会社 半導体製造装置、液量監視装置、半導体製造装置の液体材料監視方法、及び、液量監視方法
US20060121192A1 (en) 2004-12-02 2006-06-08 Jurcik Benjamin J Liquid precursor refill system
US7485338B2 (en) * 2005-03-31 2009-02-03 Tokyo Electron Limited Method for precursor delivery
US7562672B2 (en) 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US8951478B2 (en) 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
JP5244814B2 (ja) * 2006-11-22 2013-07-24 ソイテック 化学気相成長チャンバ用の温度制御されたパージゲート弁を使用した方法、アセンブリ及びシステム
KR100855582B1 (ko) 2007-01-12 2008-09-03 삼성전자주식회사 액 공급 장치 및 방법, 상기 장치를 가지는 기판 처리설비, 그리고 기판 처리 방법
JP5305328B2 (ja) 2007-06-07 2013-10-02 株式会社日立国際電気 基板処理装置
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7823436B2 (en) 2008-01-18 2010-11-02 Pivotal Systems Corporation Method and apparatus for in situ testing of gas flow controllers
US20090214777A1 (en) * 2008-02-22 2009-08-27 Demetrius Sarigiannis Multiple ampoule delivery systems
WO2009114112A2 (en) 2008-03-08 2009-09-17 Omniprobe, Inc. Method and apparatus for precursor delivery system for irradiation beam instruments
JP4418001B2 (ja) * 2008-03-12 2010-02-17 三井造船株式会社 原料供給装置
US20090255466A1 (en) 2008-04-11 2009-10-15 Peck John D Reagent dispensing apparatus and delivery method
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
KR20110088564A (ko) 2008-11-11 2011-08-03 프랙스에어 테크놀로지, 인코포레이티드 반응물 분배 장치 및 전달 방법
JP2012515842A (ja) * 2009-01-16 2012-07-12 ビーコ・インスツルメンツ・インコーポレーテッド ルテニウムの低温堆積のための組成物及び方法
US20100305884A1 (en) 2009-05-22 2010-12-02 Applied Materials, Inc. Methods for determining the quantity of precursor in an ampoule
US9028924B2 (en) * 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US8728956B2 (en) * 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US20130019960A1 (en) * 2011-07-22 2013-01-24 Applied Materials, Inc. Reactant Delivery System For ALD/CVD Processes
CN103041954A (zh) 2011-10-13 2013-04-17 北大方正集团有限公司 一种用于旋涂设备的液位报警系统
US20130196078A1 (en) 2012-01-31 2013-08-01 Joseph Yudovsky Multi-Chamber Substrate Processing System
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP2015190035A (ja) * 2014-03-28 2015-11-02 東京エレクトロン株式会社 ガス供給機構およびガス供給方法、ならびにそれを用いた成膜装置および成膜方法
KR102387359B1 (ko) 2014-04-18 2022-04-14 어플라이드 머티어리얼스, 인코포레이티드 자동-리필 앰풀 및 사용 방법들
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US20160052651A1 (en) * 2014-08-22 2016-02-25 Lam Research Corporation Fill on demand ampoule
US10094018B2 (en) 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US9460915B2 (en) * 2014-09-12 2016-10-04 Lam Research Corporation Systems and methods for reducing backside deposition and mitigating thickness changes at substrate edges
US9613818B2 (en) * 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process

Also Published As

Publication number Publication date
CN112086381A (zh) 2020-12-15
US20170096735A1 (en) 2017-04-06
CN107068585B (zh) 2020-08-25
US20170362705A9 (en) 2017-12-21
TWI737630B (zh) 2021-09-01
US11180850B2 (en) 2021-11-23
JP2017085088A (ja) 2017-05-18
SG10201608005WA (en) 2017-05-30
SG10202003055WA (en) 2020-05-28
CN107068585A (zh) 2017-08-18
US20190024233A1 (en) 2019-01-24
US10094018B2 (en) 2018-10-09
TW201720951A (zh) 2017-06-16
KR20170044016A (ko) 2017-04-24

Similar Documents

Publication Publication Date Title
JP6804920B2 (ja) 原子層堆積のための動的前駆体注入
US20210230746A1 (en) Systems and methods for stabilizing reaction chamber pressure
US9725808B2 (en) Raw material gas supply apparatus
KR102610664B1 (ko) 멀티-스테이션 증착 시스템에서 막 두께 매칭을 위한 가변 사이클 및 시간 rf 활성화 방법
US9951423B2 (en) Systems and methods for measuring entrained vapor
TWI827448B (zh) 原子層沉積裝置
JP2016134569A (ja) 半導体製造装置
KR20190070872A (ko) 기판 처리 방법, 기억 매체 및 원료 가스 공급 장치
JP6857960B2 (ja) オンデマンド充填アンプル
US11970772B2 (en) Dynamic precursor dosing for atomic layer deposition
CN107731669A (zh) 用于间隙特征中的ald沉积轮廓调整的添加剂
US11560624B2 (en) Precursor delivery system
JP7144531B2 (ja) ガスのパルスに基づく共用前駆体分配システム及び使用方法
TW202235673A (zh) 用於原子層沉積的具有管線填充容積容器之前驅物分配系統
KR102070864B1 (ko) 기판처리장치의 가스공급 제어방법
US20060019029A1 (en) Atomic layer deposition methods and apparatus
WO2021060116A1 (ja) ガス供給装置及びガス供給方法
US20230340662A1 (en) Gas delivery for tungsten-containing layer
TW202213017A (zh) 半導體處理系統以及其控制總成及方法
CN117516652A (zh) 用于前体水平测量的基于压力的传感器系统及其方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170112

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190930

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190930

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201110

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20201203

R150 Certificate of patent or registration of utility model

Ref document number: 6804920

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250