CN106663625A - 等离子体蚀刻装置用电极上设置的气体导入孔的测量方法、电极、电极的再生方法、再生电极、等离子体蚀刻装置、气体导入孔的状态分布图及其显示方法 - Google Patents

等离子体蚀刻装置用电极上设置的气体导入孔的测量方法、电极、电极的再生方法、再生电极、等离子体蚀刻装置、气体导入孔的状态分布图及其显示方法 Download PDF

Info

Publication number
CN106663625A
CN106663625A CN201580032059.1A CN201580032059A CN106663625A CN 106663625 A CN106663625 A CN 106663625A CN 201580032059 A CN201580032059 A CN 201580032059A CN 106663625 A CN106663625 A CN 106663625A
Authority
CN
China
Prior art keywords
gas introducing
introducing port
electrode
base material
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201580032059.1A
Other languages
English (en)
Other versions
CN106663625B (zh
Inventor
铃木崇之
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
A Sat Corp
Original Assignee
A Sat Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=56150766&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=CN106663625(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by A Sat Corp filed Critical A Sat Corp
Priority to CN201910872152.3A priority Critical patent/CN110491763B/zh
Publication of CN106663625A publication Critical patent/CN106663625A/zh
Application granted granted Critical
Publication of CN106663625B publication Critical patent/CN106663625B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/08Measuring arrangements characterised by the use of optical techniques for measuring diameters
    • G01B11/12Measuring arrangements characterised by the use of optical techniques for measuring diameters internal diameters
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/954Inspecting the inner surface of hollow bodies, e.g. bores
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/3288Maintenance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J49/00Particle spectrometers or separator tubes
    • H01J49/02Details
    • H01J49/10Ion sources; Ion guns
    • H01J49/105Ion sources; Ion guns using high-frequency excitation, e.g. microwave excitation, Inductively Coupled Plasma [ICP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/244Detection characterized by the detecting means
    • H01J2237/2449Detector devices with moving charges in electric or magnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

提供了一种可以高精度地测量等离子体蚀刻装置用电极上设置的气体导入孔的测量方法以及具有高精度气体导入孔的电极。本发明的等离子体蚀刻装置用电极上设置的气体导入孔的测量方法,用于测量沿厚度方向贯穿等离子体蚀刻装置用电极中的基材而设置的气体导入孔,包括:使光从基材的一面侧朝向气体导入孔进行照射;获得通过气体导入孔而透过基材的另一面侧的光的二维图像;以及基于二维图像,测量气体导入孔的直径、内壁面的粗糙度和垂直程度中的至少一个。

Description

等离子体蚀刻装置用电极上设置的气体导入孔的测量方法、 电极、电极的再生方法、再生电极、等离子体蚀刻装置、气体导 入孔的状态分布图及其显示方法
技术领域
本发明涉及等离子体蚀刻装置用电极上设置的气体导入孔的测量方法、电极、电极的再生方法、再生电极、等离子体蚀刻装置、气体导入孔的状态分布图及其显示方法。
背景技术
等离子体蚀刻装置在真空腔室内产生等离子体并且对半导体晶片等的对象物进行蚀刻。真空腔室内设置承载对象物的承载台和与该承载台对向配置的上部电极。承载台上设有下部电极。而且,上部电极上设有将气体导入真空腔室内的孔(气体导入孔)。处理对象物时,从该孔向真空腔室内导入气体,在下部电极和上部电极之间施加高频电压,产生等离子体,来进行对象物的蚀刻。
使用该装置的低温等离子体的半导体元件的蚀刻微细加工也被称为干蚀刻。干蚀刻是半导体元件的处理。干蚀刻将光刻后硬化的被蚀刻膜上的光刻胶作为掩膜,通过反应气体的等离子体在硅/绝缘物膜(例如,SiO2、PSG、BPSG)/金属膜(例如,AL、W、Cu)等上形成沟或孔的图案。据此,按照光刻装置形成的图案,进行正确的微细化加工。
在进行干蚀刻时,根据真空腔室内的被蚀刻的膜来导入蚀刻气体,施加高频,并且产生等离子体。根据通过离子碰撞来削去抗蚀剂(掩模材料)未覆盖区域的反应离子蚀刻(RIE:Reactive Ion Etching)的工艺,来进行干蚀刻。
通过使等离子体放电生成的离子与硅晶片上的被蚀刻膜进行表面化学反应,并且将该生成物真空排出,来进行干蚀刻。该处理后,抗蚀剂的有机物通过灰化工艺进行燃烧。如果微细图案的尺寸与被蚀刻膜的厚度接近,则采用RIE。
目前的半导体元件的形成中该干蚀刻是主流。特别是,在使用300mm(毫米)尺寸的硅晶片的半导体元件的超微细加工中,集成度很高,线宽(Line)和线间(Space)的间距很严格。因此,进一步要求提高根据干蚀刻的加工特性、成品率和生产率。
CMOS半导体元件的设计规则倾向于栅极长度从14nm(纳米)发展至9nm,并且蚀刻的线宽和线间也同样变得严格。在这种半导体元件的制造中,不仅图案的尺寸精度,还需要克服图案的腐蚀、发尘、充电所引起的损坏、随时间变化等问题。进一步,通过可与晶片的大口径化对应的反应气体的导入,期待控制所产生的等离子体的技术。
在干蚀刻中,加工精度、图案形状、蚀刻选择比、晶片面内加工均一性、蚀刻速度等为重要因素。例如,为了因干蚀刻形成的图案加工截面垂直,称为侧壁保护膜的沉积膜不应过厚。而且,如果侧壁保护膜的膜厚存在偏差,则成为尺寸变化的原因。因此,不需要侧壁保护膜的理想低温蚀刻的技术是重要的。而且,图案底部的不充分的侧壁保护膜形成、移动表面的粒子、表面温度、底部的气体流动等也需要考虑。
而且,关于蚀刻的均一性,反应气体的流动、等离子体的均一性、偏置的均一性、温度的均一性、反应生成物再附着的均一性等各种条件的均一性是必须的。特别是对于大口径(例如,300mm的尺寸)的晶片,反应生成物再附着的均一性对蚀刻处理的均一性影响很大。
为了降低等离子体蚀刻装置和蚀刻处理的成本,需要高效的等离子体处理、连续处理、部件长寿命产生的运行成本降低等。为了高效的等离子体处理技术或者高生产能力,怎样实现不良加工发生的减少、适应时间的减少、高运转率(低故障率)、维护频率的减少等成为问题。尤其是,等离子体蚀刻装置的上部电极是蚀刻处理时被消耗的部件。因此,对蚀刻处理同时变化的上部电极的状态、气体导入孔的状态、未使用时的电极状态和使用前后的状态进行非破坏的监测技术在解决干蚀刻的各种问题时非常重要。
这里,等离子体蚀刻装置中制造上部电极时,例如,在硅单结晶的圆盘上通过金刚石钻头的钻孔加工等来形成气体导入孔。在专利文献1中,公开了将处理装置的构成部件(例如,具有气体喷出孔的喷头部和上部电极)在蚀刻液中进行表面处理的清洗方法。通过该技术,去除钻头钻孔加工时产生的毛刺等,使构成部件的表面平坦化。
该上部电极上设置的气体导入孔的内径非常小,为200μm(微米)至500μm左右。而且,由于需要贯穿板的厚度,气体导入孔的长度常常超过10mm。如果没有高精度地形成这种气体导入孔,等离子体蚀刻所需的气体不能均一地导入腔室内,很容易使对象物处理面内不均一。近年,晶片等对象物变得大型化,高精度地形成很多气体导入孔是非常重要的。
这里,非破坏地测量上部电极上设置的细长的气体导入孔的状态是非常困难的。为此,上部电极的寿命未根据气体导入孔的状态进行管理,而是根据使用时间进行管理。也就是,将预计的上部电极使用时间和颗粒产生量之间的关系进行数据获取,根据该数据,当颗粒产生量超过容许范围的使用时间到达的情况下,判断为到达上部电极的寿命。
现有技术文献
专利文献
专利文献1:特开2003-68653号公报
发明内容
发明要解决的问题
干蚀刻的被蚀刻膜例如列举为Si、poly-Si、Si3N4、SiO2、Al、W、cu、Ta2O5、TiN等。作为反应蚀刻气体,主要使用CF4、SF6、CL2、Hbr、CHF3、CH2F2、H2、C2F6、C4F8、BCL3等的卤素元素的化合物气体。根据等离子体蚀刻装置的被蚀刻膜大致分为Si和poly-Si膜用的、绝缘膜用的和金属膜用的三种。根据被蚀刻膜的种类,等离子体蚀刻装置的结构要素不存在大的差别,蚀刻气体的差别、蚀刻腔室内部的材质、蚀刻的终点检测方法被对应于被蚀刻的材料进行最合适的设定。
RIE中,为了在300mm尺寸的硅晶片的大的面积上均一地生成离子,需要稳定地产生高密度的等离子体。为此,如果在上部电极上附着有反应生成物,则不能再晶片面内均一地提供离子喷射,可能产生使蚀刻不达标的灰尘。
最初,干蚀刻装置使用在具有上部/下部电极的平行平板型的结构中使蚀刻气体在电极间流入和排除的类型。现在,使用上部电极中设有贯穿孔且使低蒸气压得被蚀刻气体喷射状喷出的RIE装置。
该RIE装置中,在蚀刻的处理腔室内,晶片基座设置在下部电极上。在该处理腔室中,蚀刻气体供给系统和真空(0.1Pa左右)系统连接。下部电极上设有高频电源和基座调温系统。
进一步,为了将处理腔室保持为真空,经常具有装载闭锁的前室。为了提高生产率和可靠性,在蚀刻腔室中在真空状态下运送硅晶片。该运送机构称为装载闭锁机构。在单片式(Single Wafer)处理硅晶片的蚀刻装置中,使用可通常容纳25片硅晶片的卡盒,通过机器来运送该卡盒。据此,通过一套/两个/卡盒方式来自动运送硅晶片。
通过线宽1μm以下的超微细加工,在产生等离子体时,降低现有的1Torr~数百mTorr的气体压力并且改善碰撞硅晶片表面的粒子方向,以及需要使等离子体密度变高且提高吞吐量。为此,重要地是非破坏地掌握具有0.5μm左右的贯穿孔的硅上部电极的贯穿孔内面的粗糙度等。
图案的加工尺寸(CD:Critical Dimension)受到作为复杂反应的干蚀刻生成物质、自由基和离子的不均一性的影响。从硅上部电极的贯穿孔导入的蚀刻气体和反应生成物的排气、下部硅电极温度的硅晶片面内全部的均匀性是需要的。
但是,非破坏地测量上部电极上设置的细长的气体导入孔的状态是非常困难的。这里,虽然可以考虑作为非破坏测量的X射线图像测量,但是因为上部电极中设有很多气体导入孔,在与孔的长度方向直交的方向中获得X射线图像的情况下,其他孔的图像将重复在作为测量对向的气体导入孔的图像上,因此存在不能高精度地测量的问题。
而且,实际上还可以使用,但是根据时间管理来判断是否到达寿命的情况下,存在必须将上部电极以新的电极更换的问题。
而且,在已经安装在等离子体蚀刻装置的状态下,上部电极的气体导入孔的状态的测量十分困难。
而且,如上所述,由于非破坏地测量上部电极上设置的气体导入孔的状态十分困难,所以还没有公开容易地掌握上部电极上设置的多个气体导入孔状态的技术。为此,上部电极的管理不得不进行使用时间的管理。
本发明的目的在于提供可以高精度地测量等离子体蚀刻装置用电极上设置的气体导入孔的测量方法以及具有高精度气体导入孔的电极。
而且,本发明的目的在于提供可以使等离子体蚀刻装置用电极中使用后的电极再生的方法。
而且,本发明的目的在于提供使等离子体蚀刻装置用电极中使用后的电极再生后的再生电极。
本发明的目的在于提供可以高精度地测量等离子体蚀刻装置用的上部电极上设置的气体导入孔的等离子体蚀刻装置。
本发明的目的在于提供可以容易地掌握等离子体蚀刻装置用电极上设置的气体导入孔的状态的分布图和显示方法。
解决问题的手段
为了解决上述问题,本发明的等离子体蚀刻装置用电极上设置的气体导入孔的测量方法,用于测量沿厚度方向贯穿等离子体蚀刻装置用电极中的基材而设置的气体导入孔,包括:使光从基材的一面侧朝向气体导入孔进行照射;获得通过气体导入孔而透过基材的另一面侧的光的二维图像;以及基于二维图像,测量气体导入孔的直径、内壁面的粗糙度和垂直程度中的至少一个。
根据这种结构,利用透过细长的气体导入孔的光的二维图像和气体导入孔的状态之间的关系,可以非破坏地测量气体导入孔的直径、内壁面的粗糙度和垂直程度中的至少一个。
在本发明的气体导入孔的测量方法中,光可以是相干光。而且,基于沿二维图像的扫描线的信号的斜率,来测量气体导入孔的内壁面的粗糙度。
在本发明的气体导入孔的测量方法中,还包括从基材的一面侧来获取气体导入孔的开口部图像,在测量气体导入孔时,基于二维图像和开口部图像来进行测量。根据这种结构,通过二维图像和开口部图像的两个图像之间的关系,可以更高精度地进行测量。
本发明的电极,用于等离子体蚀刻装置,包括包括设有厚度方向上贯穿的多个气体导入孔的板状基材,多个气体导入孔的直径被测量。一种电极,在通过上述测量方法进行测量的情况下,通过将多个气体导入孔的直径、内壁面的粗糙度和垂直程度中的至少一个收敛在预设的一定范围内来形成。根据这种结构,可以提供具有高精度的气体导入孔的电极。
在本发明的电极中,在基材上设置多个气体导入孔,从基材的一面侧照射的光通过多个气体导入孔,到达基材的另一面侧。而且在本发明的电极中,透过多个气体导入孔的光的强度的偏差为预设的一定值以下。
本发明的等离子体蚀刻装置用电极的再生方法,电极设有在基材厚度方向中贯穿的气体导入孔,方法包括:测量在等离子体蚀刻装置中使用规定时间的电极的气体导入孔的状态;基于气体导入孔的状态的测量结果,进行基材的表面的研磨和气体导入孔的内壁面的加工中的至少之一;以及测量加工后的气体导入孔的状态。
根据这种结构,可以基于气体导入孔的状态的测量结果来进行电极的再生。也就是说,即便通过时间管理判断电极已到寿命,也可以通过基材表面或气体导入孔内壁面的加工,来进行再生。
本发明的再生方法中,测量气体导入孔的状态时,可以包括:使光从基材的一面侧朝向气体导入孔进行照射;获得通过气体导入孔而透过基材的另一面侧的光的二维图像;以及基于二维图像,测量气体导入孔的直径、内壁面的粗糙度和垂直程度中的至少一个。
根据这种结构,利用透过细长的气体导入孔的光的二维图像和气体导入孔的状态之间的关系,可以非破坏地测量气体导入孔的直径、内壁面的粗糙度和垂直程度中的至少一个。
在本发明的再生方法中,光可以是相干光。而且,可以基于沿二维图像的扫描线的信号的斜率,来测量气体导入孔的内壁面的粗糙度。
在本发明的气体导入孔的测量方法中,还包括从基材的一面侧来获取气体导入孔的开口部图像,在测量气体导入孔时,基于二维图像和开口部图像来进行测量。根据这种结构,通过二维图像和开口部图像的两个图像之间的关系,可以更高精度地进行测量。
通过本发明的再生方法,在气体导入孔的状态的测量结果中,在气体导入孔的内壁面的粗糙度收敛在预设的范围内时,对基材的表面进行研磨,当不收敛在范围内时,对气体导入孔的内壁面进行加工。根据这种结构,对应于气体导入孔的状态,可以通过最合适的加工方法来再生电极。
在本发明的再生方法中,气体导入孔的内壁面加工可以包括使气体导入孔的直径变大的穿孔加工和对气体导入孔的内壁面的蚀刻加工的至少一种。通过实施使气体导入孔的直径变大的穿孔加工,可以将原气体导入孔作为基准对气体导入孔进行再生。而且,通过对气体导入孔的内壁面进行蚀刻加工,可以在气体导入孔的直径基本不变化的情况下,来再生气体导入孔。
在本发明的再生方法中,气体导入孔的内壁面加工可以包括进行使气体导入孔的直径变大的穿孔加工后,对气体导入孔的内壁面进行蚀刻加工。根据这种结构,去除变大气体导入孔的直径的穿孔加工而生成的内壁面的毛刺,可以再生具有光滑内壁面的气体导入孔。
在本发明的再生方法中,基材的主材料可以是硅、石英和碳化硅的任一种。根据本发明的再生方法,可以再生这些主材料的电极。
本发明的等离子体蚀刻装置用的再生电极,设有贯穿基材的厚度方向的气体导入孔,测量再生前的电极的气体导入孔的状态,基于气体导入孔的测量结果来进行基材的表面研磨和气体导入孔的内壁面加工的至少一种,测量加工后的气体导入孔的状态。
根据这种结构,可以基于气体导入孔的状态的测量结果来提供再生的电极。也就是说,即便通过时间管理判断电极已到寿命,也可以通过基材表面或气体导入孔内壁面的加工,来提供再生的电极。
本发明的再生电极中,测量气体导入孔的状态时,可以包括:使光从基材的一面侧朝向气体导入孔进行照射;获得通过气体导入孔而透过基材的另一面侧的光的二维图像;以及基于二维图像,测量气体导入孔的直径、内壁面的粗糙度和垂直程度中的至少一个。
根据这种结构,利用透过细长的气体导入孔的光的二维图像和气体导入孔的状态之间的关系,可以非破坏地测量气体导入孔的直径、内壁面的粗糙度和垂直程度中的至少一个。
在本发明的再生电极中,在测量气体导入孔的状态时使用的光可以是相干光。而且,可以基于沿二维图像的扫描线的信号的斜率,来测量气体导入孔的内壁面的粗糙度。
在本发明的再生电极中,气体导入孔的状态的测量还可以包括从基材的一面侧来获取气体导入孔的开口部图像,在测量气体导入孔时,可以基于二维图像和开口部图像来进行测量。根据这种结构,通过二维图像和开口部图像的两个图像之间的关系,可以更高精度地进行测量。
在本发明的再生电极中,在气体导入孔的状态的测量结果中,在气体导入孔的内壁面的粗糙度收敛在预设的范围内时,对基材的表面进行研磨,当不收敛在范围内时,对气体导入孔的内壁面进行加工。根据这种结构,对应于气体导入孔的状态,可以通过最合适的加工方法来提供再生的电极。
在本发明的再生电极中,气体导入孔的内壁面加工可以包括使气体导入孔的直径变大的穿孔加工和对气体导入孔的内壁面的蚀刻加工的至少一种。通过实施使气体导入孔的直径变大的穿孔加工,可以将原气体导入孔作为基准对气体导入孔进行再生。而且,通过对气体导入孔的内壁面进行蚀刻加工,可以在气体导入孔的直径基本不变化的情况下,来再生气体导入孔。
在本发明的再生电极中,气体导入孔的内壁面加工可以包括进行使气体导入孔的直径变大的穿孔加工后,对气体导入孔的内壁面进行蚀刻加工。根据这种结构,去除变大气体导入孔的直径的穿孔加工而生成的内壁面的毛刺,可以再生具有光滑内壁面的气体导入孔。
在本发明的再生电极中,基材的主材料可以是硅、石英和碳化硅的任一种。根据本发明,提供了根据这些主材料的再生电极。
本发明的等离子体蚀刻装置,包括:腔室;上部电极,设置在腔室内,并且具有贯穿基材厚度方向的气体导入孔;下部电极,设置在腔室内并且与上部电极对向;高频施加部,在腔室内的上部电极和下部电极之间施加高频;以及测量部,测量气体导入孔的状态,测量部包括:发光部,使光从基材的一面侧朝向气体导入孔进行照射;受光部,获得通过气体导入孔而透过基材的另一面侧的光的二维图像;以及图像处理部,基于二维图像,进行测量气体导入孔的直径、内壁面的粗糙度和垂直程度中的至少一个的处理。
根据这种结构,利用透过细长的上部电极上设置的气体导入孔的光的二维图像和气体导入孔的状态之间的关系,可以非破坏地测量气体导入孔的直径、内壁面的粗糙度和垂直程度中的至少一个。而且,可以在上部电极已经安装在等离子体蚀刻装置的状态下,来测量气体导入孔。
在本发明的等离子体蚀刻装置中,在测量气体导入孔时使用的光可以是相干光。而且,可以基于沿二维图像的扫描线的信号的斜率,来测量气体导入孔的内壁面的粗糙度。
在本发明的等离子体蚀刻装置中,还可以包括从基材的一面侧来获取气体导入孔的开口部图像的图像获取部,在测量气体导入孔时,可以基于二维图像和图像获取部获取的开口部图像来进行测量。根据这种结构,通过二维图像和开口部图像的两个图像之间的关系,可以更高精度地进行测量。
在本发明的等离子体蚀刻装置中,发光部可以可移动地设置在保持上部电极的保持部上。而且,发光部可以可进退地设置在所述上部电极和所述下部电极之间。通过这种结构,可以在上部电极已经安装在等离子体蚀刻装置的状态下,来测量气体导入孔。
本发明的等离子体蚀刻装置,包括:腔室;上部电极,设置在腔室内,并且具有贯穿基材厚度方向的气体导入孔;下部电极,设置在腔室内并且与上部电极对向;以及高频施加部,在腔室内的上部电极和下部电极之间施加高频。上部电极,在基材上设置多个气体导入孔,从基材的一面侧照射的光通过多个气体导入孔,到达基材的另一面侧。在本发明的等离子体蚀刻装置中,透过多个气体导入孔的光的强度的偏差为预设的一定值以下。
为了解决上述问题,本发明的等离子体蚀刻装置用电极上设置的气体导入孔的状态分布图,用于显示等离子体蚀刻装置用电极中设置成在厚度方向上贯穿基材的多个气体导入孔的状态,与基材的面内的多个气体导入孔的位置相对应,将多个气体导入孔的各个的状态通过与该状态对应的显示方式来进行显示。根据这种结构,以视觉上容易区分的方式来显示多个气体导入孔的状态的基材的面内分布。
在本发明的状态分布图中,显示方式可以为颜色、样式和高度中的至少一种。据此,通过颜色、样式和高度中的至少一种的显示方式来表示多个气体导入孔的基材的面内分布。
在本发明的状态分布图,气体导入孔的状态可以为以下操作后的结果:使光从基材的一面侧朝向气体导入孔进行照射;获得通过气体导入孔而透过基材的另一面侧的光的二维图像;以及基于二维图像,测量气体导入孔的直径、内壁面的粗糙度和垂直程度中的至少一个。
根据这种结构,利用透过细长的气体导入孔的光的二维图像和气体导入孔的状态之间的关系,可以得到对气体导入孔的直径、内壁面的粗糙度和垂直程度中的至少一个进行非破坏地测量的客观状态的面内分布。
本发明的状态分布图的显示方法,将对等离子体蚀刻装置用电极中设置成在厚度方向上贯穿基材的多个气体导入孔的状态进行显示的分布图显示在显示部中,方法包括:将多个气体导入孔的各个的状态的测量结果读取至运算部;以及基于测量结果,对应于基材的面内的多个气体导入孔的位置,将多个气体导入孔的各个的状态通过与该状态对应的显示方式显示在显示部中。根据这种结构,可以在显示部中显示多个气体导入孔的状态的基材的面内分布,可以以视觉上容易区分的方式来显示状态分布图。
本发明的状态分布图的显示方法,测量气体导入孔的状态时,可以包括:使光从基材的一面侧朝向气体导入孔进行照射;获得通过气体导入孔而透过基材的另一面侧的光的二维图像;以及基于二维图像,测量气体导入孔的直径、内壁面的粗糙度和垂直程度中的至少一个。
根据这种结构,利用透过细长的气体导入孔的光的二维图像和气体导入孔的状态之间的关系,可以对气体导入孔的直径、内壁面的粗糙度和垂直程度中的至少一个进行非破坏地测量、来显示客观的状态的面内分布。
在本发明的状态分布图的显示方法中,在测量气体导入孔的状态时使用的光可以是相干光。而且,可以基于沿二维图像的扫描线的信号的斜率,来测量气体导入孔的内壁面的粗糙度。
在本发明的状态分布图的显示方法中,气体导入孔的状态的测量还可以包括从基材的一面侧来获取气体导入孔的开口部图像,在测量气体导入孔时,可以基于二维图像和开口部图像来进行测量。根据这种结构,通过二维原图像和开口部图像的两个图像之间的关系,可以更高精度地进行测量,并且更客观地显示状态的面内分布。
附图说明
图1(a)和(b)是示例性示出等离子体蚀刻装置用电极的示意图。
图2是示例性示出等离子体蚀刻装置的结构的示意图。
图3是示例性示出包括测量装置的等离子体蚀刻装置的结构的示意图。
图4是示例性示出电极的制造方法的流程图。
图5是示例性示出电极的再生方法的流程图。
图6(a)和(b)是示出再加工示例的截面图。
图7是示例性示出本实施方式的气体导入孔的测量方法的示意图。
图8(a)~(d)是示例性示出了气体导入孔状态和图像的关系的示意图。
图9(a)~(d)是示例性示出了气体导入孔状态和图像的关系的示意图。
图10(a)~(c)是示出气体导入孔和二维图像的照片。
图11(a)和(b)是示例性示出气体导入孔的其他测量方法的示意图。
图12(a)~(h)是示例性示出通过相机获得的图像和二维图像的示意图。
图13是示例性示出气体导入孔的角度的示意图。
图14(a)和(b)是说明本实施方式的状态分布图的视图。
图15(a)和(b)是说明状态分布图的显示方法的视图。
图16(a)和(b)是示出状态分布图的显示示例(其1)的视图。
图17是示出状态分布图的显示示例(其2)的视图。
图18是说明状态(测量结果)变化及预测的视图。
图19(a)~(c)是示出预测分布图的视图。
具体实施方式
下面,基于图面说明本发明的实施方式。此外,在以下的说明中,相同的部件使用相同的符号,对之前说明过的部件,将适当地省略其说明。
(等离子体蚀刻装置用电极及再生电极)
图1(a)和(b)是示例性示出等离子体蚀刻装置用电极和再生电极的示意图。图1(a)表示电极的斜视图,图1(b)表示一部分电极的放大截面图。此外,在本实施方式中,再生前的电极是再生前电极10B,在不区分再生前电极10B和再生电极10R的情况下统称为电极10。
如图1(a)所示,电极10具有在例如圆盘状的基材11上设置多个气体导入孔12的结构。多个气体导入孔12设置成在厚度方向上贯穿基材11。多个气体导入孔12以规定的间隔纵横排列在基材11的表面。多个气体导入孔12可以排列成与基材11的中心同心的圆状。
基材11的直径设定为与实施等离子体蚀刻的对象物(晶片等)的大小相适合。例如,100mm(毫米)左右的直径的晶片作为对象物时,电极10的直径约为150mm以上200mm以下,150mm左右的直径的晶片作为对象物时,电极10的直径约为200mm以上280mm以下,200mm左右的直径的晶片作为对象物时,电极10的直径约为280mm以上320mm以下,300mm左右的直径的晶片作为对象物时,电极10的直径约为320mm以上376mm以下,450mm左右的直径的晶片作为对象物时,电极10的直径约为450mm以上。
可以使用硅、石英及碳化硅等作为基材11的材料。基材11也可以通过两种以上的材料构成。例如,基材11也可以是通过绝缘性材料覆盖导电性材料的结构。
如图1(b)所示,基材11的厚度t例如为5mm以上13mm以下。气体导入孔12的直径d例如是200μm以上600μm以下。气体导入孔12的直径d与基材11的厚度t的比率例如为2%以上6%以下。
作为一个示例,在本实施方式中,基材11的直径为376mm,基材11的厚度t为10mm,气体导入孔12的直径d为500μm,气体导入孔12的数量为912个。因此,在电极10上设置多个非常细长的气体导入孔12,可以使等离子体蚀刻所需的反应气体均匀导入。
通过对基材11进行钻孔加工来形成气体导入孔12。该钻孔加工时,气体导入孔12的内壁产生毛刺。在本发明实施方式的电极10中,去除气体导入孔12内壁的毛刺并且防止内壁面粗糙。通过后述的测量方式来测量气体导入孔12的状态。此外,本实施方式中,该测量方法也成为本测量方法。
这里,分别在再生前电极10B和再生电极10R中,定量地测量气体导入孔12的状态。换言之,在本实施方式的再生电极10R中,测量再生前电极10B的气体导入孔12的状态的同时,也测量再生电极10R的气体导入孔12的状态。
基于再生前电极10B的气体导入孔12的状态的测量结果,可以对再生电极10R实施基材11的表面研削和气体导入孔12的内壁面加工的至少一种。通过测量再生前后的气体导入孔12的状态,可以客观地管理从再生前到再生后的气体导入孔12的状态变化(经历)。通过测量气体导入孔12的状态,即便通过时间管理判断再生前电极10B已到寿命,也可以通过基材11表面或气体导入孔12内壁面的加工,成为可以再次使用的再生电极10R。
在本实施方式中,理想地是通过本测量方法一起测量再生前电极10B和再生电极10R。
本实施方式的电极10包括通过该测量方法测量的气体导入孔12。例如,在根据本实施方式进行测量的情况下,多个气体导入孔12的直径、内壁面的粗糙度和垂直程度中的至少一个收敛在预设的规定范围内。
作为一个示例,通过本实施方式的测量方法来测量在基材11上设置的全部(例如,912个)气体导入孔12的直径。由测量的直径例如求出标准偏差(σ),形成该σ或3σ等为规定值以下的电极10。对于通过本实施方式的测量方法测量的内壁面的粗糙度或垂直程度也是同样。
具有这种气体导入孔12的本实施方式的电极10中,通过抑制直径或垂直程度的偏差并且抑制内壁面的粗糙度,可以顺畅地进行气体的导入,并且提高等离子体的均一性。因此,可以不易受到等离子体蚀刻时的损坏,并且实现电极10的长寿命化。
而且,基材11上设置的气体导入孔12的直径在基材11的面内可以不设成一定的。换言之,为了有意地改变气体导入分布,可以根据基材11面内的位置来使气体导入孔12的直径变化。因为根据本实施方式的测量方法可以测量气体导入孔12的直径,所以可以正确地得到基材11面内的气体导入孔12的直径分布。
例如,基材11面内的周边部分的孔径可大于中央部分的孔径,相反地,周边部分的孔径也可小于中央部分的孔径。通过设定气体导入孔12的直径来控制气体导入分布,使其反映在处理中。通过使用本实施方式的测量方法,可以提供可正确测量气体导入孔12的直径且使其反映在处理中的电极10。
而且,根据本测量方法测量设置在再生前电极10B上的气体导入孔12的状态,可以比较该测量结果与再生电极10R的测量结果。在本测量方法中,可以实现以往困难的细长气体导入孔12状态的高精度测量。通过在再生前后使用相同的本测量方法来测量气体导入孔12,可以留下一个电极10的再生经历。序列号赋予给电极10的情况下,可以记忆在该序列号和再生经历的数据库中。根据序列号来检索数据库,可以迅速且正确地掌握再生电极10R的经历。
此外,在本实施方式中测量了电极10的气体导入孔12的状态,但是也可以测量电极10的电气特性(例如,电阻值)。换言之,测量再生前电极10B的电阻值和再生电极10R的电阻值。因为电极10的电阻值根据使用时间进行变化,所以通过测量再生前后的电阻值,可以多方面地管理再生电极10R的特性。
(等离子体蚀刻装置)
图2是示例性示出等离子体蚀刻装置的结构的示意图。
如图2所示,等离子体蚀刻装置100包括腔室110、上部电极120、下部电极130、气体导入通路140、排气通路150、泵160和高频施加部170。换言之,等离子体蚀刻装置100是RIE(Reactive Ion Etching)装置。
通过泵160将腔室110内维持成减压状态。上部电极120和下部电极130在腔室110内彼此相对地配置。本实施方式的电极10适用作上部电极120。在气体导入通路140的腔室110侧设置的保持部141上安装上部电极120。下部电极130可以是通过静电吸盘等来承载晶片等对象物W的承载部。
为了根据等离子体蚀刻装置100来处理对象物W,将对象物W承载在下部电极130上,通过泵160使腔室110内成为减压状态。之后,从气体导入通路140向腔室110内导入反应气体。反应气体从气体导入通路140经由上部电极120的气体导入孔121(12)导入到腔室110内。然后,导入反应气体的同时,通过高频施加部170在上部电极120和下部电极130之间施加高频(例如,13.56MHz)。据此,腔室110内产生等离子体P,在对象物W的表面上实施蚀刻或沉积等处理。
在等离子体蚀刻装置100下,使用CF4、SF6、CHF3、CCl4、SiCl4、Cl2、Br2、HBr等作为反应气体。根据蚀刻的对象物W的材料,适当地选择反应气体。
如果将本实施方式的电极10用作等离子体蚀刻装置100的上部电极120,反应气体光滑地通过气体导入孔121(12)并且被导入腔室110内。因此,将反应气体以高均一性导入上部电极120和下部电极130之间,对对象物W实施稳定的处理。
这里,等离子体蚀刻装置100使例如CF4的等离子体产生的高反应性的F原子与Si进行反应,生成SiF4,可以进行蚀刻。然后,通过产生在施加有高频(RF)的阴极电极上加速离子的DC偏压,来进行各向异性蚀刻。
如果在作为反应气体的CF4中添加H2,Si的蚀刻速度降低,选择比提高。Si表面上形成含有反应的中间生成物的反应层,同时进行蚀刻。通过使离子冲击吸着有蚀刻物质的表面,促进蚀刻物质和被蚀刻材料的反应。在离子冲击的图案底面去除该薄膜,可以进行各向异性加工。
近年来,因为设备性能的物理限制增大,多种新材料(多孔质low-k、high-k、高灵敏度准分子抗蚀剂(excimer resist)等)和新结构(大马士革(damascene)布线、应变硅、Fin型栅极等)陆续出现。为了对应这些情况,等离子体蚀刻装置和等离子体蚀刻技术中,监测和反馈是很重要的。
例如,需要科学地理解外部参数(气体种类、压力、RF电力等设定条件)和参数(等离子体密度、自由基组成/密度、离子种类/能量等)、蚀刻特性(蚀刻速度、形状等)的相互关系。而且同时需要充实基础反应过程数据库。据此,可以与硬件无关地,存储普遍使用的反应数据。
而且,几nm的薄膜或界面层的高选择比的实现更加困难的情况下,均一性提高效果很大。而且,在图案的加工尺寸(CD:Critical Dimension)的转换差的控制中,均一性也受到很强的影响。
进一步,在半导体元件使用的绝缘膜的蚀刻中,认为通过离子切断Si-O结合,通过C-O结合来去除O,使比较弱的Si-F结合成为可能,来进行蚀刻。在这种绝缘膜的蚀刻反应中需要明确重要条件的设定。为了弄清蚀刻机制,需要创造各种各样的监测技术。
(包括测量装置的等离子体蚀刻装置)
图3是示例性示出包括测量装置的等离子体蚀刻装置的结构的示意图。
如图3所示,等离子体蚀刻装置100B包括腔室110、上部电极120、下部电极130、气体导入通路140、排气通路150、泵160、高频施加部170及测量装置200。腔室110、上部电极120、下部电极130、气体导入通路140、排气通路150、泵160及高频施加部170与等离子体蚀刻装置100相同。
根据测量装置200来测量等离子体蚀刻装置100的上部电极120的气体导入孔121(12)的状态。测量装置200包括发光部210、受光部220和图像处理部225。
发光部210将光L1从基材11的一面侧照向气体导入孔121(12)。发光部210设置在例如保持上部电极120的保持部141上。发光部210也可以可移动地设置。
受光部220可以获得通过气体导入孔121(12)而透过基材11另一面侧的光L2的二维图像。受光部220也可以可进退地设置在上部电极120和下部电极130之间。受光部220也可以与发光部210连动。
图像处理部22基于二维图像,来测量气体导入孔121(12)的直径、内壁面的粗糙度和垂直程度中的至少一个。
根据本实施方式的等离子体蚀刻装置100,可以通过测量装置200来测量安装有上部电极120的状态下的气体导入孔121(12)的状态。使用该测量装置200,通过后述的本测量方法来测量气体导入孔121(12)的状态。
如果使用具有通过本测量方法测量的气体导入孔121(12)的上部电极120,反应气体光滑地通过气体导入孔12而导入腔室110内。因此,将反应气体以高均一性导入上部电极120和下部电极130之间,对对象物W实施稳定的处理。
而且,通过本实施方式的等离子体蚀刻装置100,可以在预设蚀刻处理时间经过的时候,通过测量装置200来测量气体导入孔121(12)的状态。测量装置200的测量可以在不将上部电极120从保持部141取出的状态下进行。
将气体导入孔121(12)的直径、内壁面的粗糙度和垂直程度中的至少一个与判定基准进行比较,若测量结果在规定内,则判断上部电极120可以继续使用。但是,如果在规定外,则判断应该更换上部电极120。
这样,为了可以测量在保持上部电极120的保持部141上安装着的气体导入孔121(12)的状态,不需要为了测量而将上部电极120取出,可以在不与上部电极120接触且不发生污染的情况下来进行测量。进一步,可以检测在等离子体蚀刻处理中使用的上部电极120本身的气体导入孔121(12)的状态。
(电极的制造方法)
接着,说明本实施方式的电极10的制造方法。
图4是示例性示出电极的制造方法的流程图。
首先,准备基材11(步骤S101)。可以使用硅、石英及碳化硅等作为基材11。在本实施方式中,作为一个示例,说明使用单晶硅的情况。这里,准备将单晶硅的硅锭以约12mm的厚度切出的圆盘状基材11。切出之后,进行基材11的上下面的研削处理,表面精度为50μm以下。
接着,在基材11上形成气体导入孔12(步骤S102)。在本实施方式中,使用烧结金刚石钻头,在基材11上形成气体导入孔12。这里,使用前端成多面的烧结金刚石钻。使用该烧结金刚石钻,使用后退加工方法对基材11进行穿孔。相对于基材11的厚度,钻头的长度短的情况下,可以使基材11反转,从正反两面形成贯通的孔。
如果通过钻头在硅的基材11上进行微细孔加工,则在孔的内壁形成微细破碎层。在本实施方式中,根据前端成多面的烧结金刚石钻,期望地是微细破碎层的厚度为10μm以下。
在进行基材11的钻孔加工的情况下,有时在钻头上附着有硅残渣。为此,期望的是通过超声波清洗装置定期地对基材11进行浸泡清洗。据此,维持钻头的性能,并且防止孔的内壁面产生微细破碎层。
接着,清洗形成有气体导入孔12的基材11(步骤S103)。在形成有气体导入孔12的基材11的孔内和基材11的表面等上,附着有钻孔加工时的污染物质。为了去除该污染物质,对基材11进行清洗。作为此处的清洗,例如可以使用根据脱气超声波清洗装置进行的清洗。通过形成为圆筒状的脱气超声波清洗装置,来清洗附着在基材11的孔内和表面的污染物质。通过进行该清洗,通过之后的根据蚀刻的溶解促进,提高基材11中发生的变色的抑制效果。
接着,进行基材11的蚀刻(步骤S104)。通过该蚀刻,去除在气体导入孔12的内壁面上形成的微细破碎层。例如,将基材11浸泡在40℃的酸溶液中。据此,熔池基材11表面(露出面),去除气体导入孔12的内壁面的微细破碎层。
该蚀刻中,将基材11浸泡在放入酸溶液的槽中,通过进行转动使酸溶液在酸溶液在微细的气体导入孔12中循环。此时,优选地同时进行转动和摇动。据此,可以使酸溶液充分地遍及气体导入孔12的内部。
基材11的浸泡时间为10秒以上1200秒以下。在浸泡在酸溶液的蚀刻中,根据蚀刻作业的次数和时间,溶解速度不同。为了使溶解处理稳定,期望地是管理蚀刻的时间和处理件数。
接着,进行基材11的研削和研磨(步骤S105)。这里,例如使用铣削加工机械,对基材11的外周部和安装孔进行加工。例如使用金属结合剂金刚石、树脂结合剂金刚石、电沉积金刚石作为使用的工具。
研削加工后,对基材11的表面实施精磨加工及平面研削加工。通过该加工,调整基材11的厚度,同时使基材11的表面粗糙度(算术平均粗糙度:Ra)例如为“1”以下。在至此的处理中,金属等污染物质附着在基材11上,所以再次进行使用酸溶液的蚀刻。该酸溶液与步骤S104中使用的酸溶液相同。
之后,使用超纯水来清洗基材11,并且将基材11浸入水中且保持在水中。通过保持在水中,抑制基材11的表面的氧化、污渍和污物。接着,通过研磨装置,使用例如含有胶体二氧化硅的研磨溶剂,对基材11进行镜面研磨。通过镜面研磨,使基材11的表面粗糙度Ra例如为“0.1”以下。
对基材11进行镜面研磨之后,进行纯水清洗,并且在热水提升装置中进行热水干燥。据此,阻止基材11的水垢、污渍等。
接着,进行气体导入孔12的检查(步骤S210)。可以使用后述的本实施方式的测量方法来作为这里进行的气体导入孔12的检查。检查后,进行最终的精密清洗。据此,完成电极10。
根据这种方法制造的电极10中,包括具有去除微细微细破碎层的非常光滑的内壁面的气体导入孔12。因此,通过将该电极10用作上部电极120,均一地导入反应气体,同时抑制对气体导入孔12的损坏,从而可以实现电极10的长寿命化。
(电极的再生方法)
图5是示例性示出的电极的再生方法的流程图。
如图5所示,本实施方式的电极10的再生方法包括气体导入孔12的测量(步骤S201)、基材11的再加工(步骤S202)和气体导入孔12的再次测量(步骤S203)。通过气体导入孔12的测量,进行使用规定时间的电极10的气体导入孔12的状态测量处理。后面描述气体导入孔12的测量方法。
在等离子体蚀刻装置100中,根据处理条件,一般将使用2000小时左右的电极10更换成新品。步骤S201中,将例如经过2000小时的电极10(再生前电极10B)从等离子体蚀刻装置100中取出,通过后述的测量方法,在不破坏的情况下测量气体导入孔12的状态。
例如,将气体导入孔12的直径、内壁面的粗糙度和垂直程度中的至少一个作为测量的气体导入孔12的状态。而且,测量中,可以测量气体导入孔12的气体排出侧的开口的圆角生成的区域的大小。
步骤S202的基材11的再加工中,进行基于步骤S201的测量结果的基材11表面研磨和气体导入孔12内壁面加工的至少之一。在步骤S202中,选择基于步骤S201的测量结果最合适的加工方法。
例如,在气体导入孔12的内壁面的粗糙度收敛在预定范围内的情况下,对基材11的表面进行研磨。也就是,因为这时气体导入孔12的内壁面的粗糙度在规定范围内,所以判断不需要对内壁面进行处理。基材11的表面因为使用变得粗糙,通过研磨基材11的表面来进行再生。
这里,在测量气体导入孔12的开口部的圆角生成的区域的大小时,可以计算从该区域大小去除圆角的研磨量。通过进行该研磨量的研磨,可以再生气体导入孔12的开口的圆角被去除的电极10。
图6(a)中示出了气体导入孔12的开口的圆角被去除的再生电极10R。通过使用,气体导入孔12的气体排出侧的开口很容易生成圆角。通过研磨基材11的表面,可以再生开口的圆角被去除的气体导入孔12。在基材11表面加工的一个示例中,首先研削基材11的气体排出侧的面,进行基材11的边缘的R倒角处理。接着,对基材11的表面进行研磨,然后实施蚀刻后,进行抛光。
而且,当气体导入孔12的内壁面的粗糙度未收敛在预设的范围内时,对气体导入孔12的内壁面进行加工。使气体导入孔12的直径变大的穿孔加工和气体导入孔12的内壁面的蚀刻加工的至少之一可以作为内壁面的加工。
在仅对气体导入孔12的内壁面的粗糙进行去除的情况下,期望地是进行蚀刻加工。据此,再生气体导入孔12的直径几乎不变且具有光滑内壁面的气体导入孔12的电极10。
而且,在仅通过对气体导入孔12的内壁面的粗糙进行去除而不充分的情况下,或者希望再生具有比原气体导入孔12的直径大的气体导入孔12的情况下,进行使气体导入孔12的直径变大的穿孔加工。该穿孔加工以原气体导入孔12为基准,通过比原孔径大的钻头进行再次穿孔。据此,再生具有以原气体导入孔12为基准而直径扩大的气体导入孔12的电极10。
图6(b)中示出了对气体导入孔12再次穿孔后的再生电极10R。虽然通过再次穿孔的直径变大,但是可以再生内壁面的粗糙去除且具有光滑内部的气体导入孔12。
此外,在进行穿孔加工的情况下,有时在气体导入孔12的内壁面上生成毛刺,所以期望在穿孔加工后进行蚀刻加工。据此,再生具有气体导入孔12的电极10,该气体导入孔12具有穿孔加工生成的内壁面毛刺被去除而光滑的内壁面。
进行加工之后,如步骤S203所示,测量加工后的气体导入孔12的状态。该测量方法与步骤S201中执行的测量方向相同。通过该测量,通过非破坏方式测量加工后的气体导入孔12的状态(例如,直径、内壁面的粗糙度和垂直程度)。据此,可以客观掌握再生后的电极10的气体导入孔12的状态。
根据步骤S203的测量结果,可以例如气体导入孔12的直径收敛在预定的一定范围,则判断为良品。对于内壁面的粗糙度和垂直程度,也是相同。而且,因为可以客观掌握气体导入孔12的状态,所以可以再生具有符合规格的气体导入孔12的电极10。
(气体导入孔的测量方法)
下面将说明气体导入孔12的测量方法。
图7是示例性示出本实施方式的气体导入孔的测量方法的示意图。
如图7所示,本实施方式的气体导入孔12的测量方法,从发光部210向气体导入孔12照射光L1,受光部220接收透过气体导入孔12的光L2,进行基于接收光图像的测量。
用于测量气体导入孔12的测量装置200包括发光部210、控制器215、受光部220和图像处理部225。发光部210例如发射激光或LED光。如之前说明的,发光部210可以可移动地设置在上部电极120的保持部141上。期望地是将相干光作为测量用光L1。本实施方式中,使用发射激光的激光光源作为发光部210。控制器215控制从发光部210发射的光L1的量和发射时间。
发光部210发射的光L1的波长使用例如是620nm以上750nm以下左右的红色,495nm以上570nm以下左右的绿色,450nm以上495nm以下左右的蓝色,750nm以上1400nm以下左右的红外。
光L1的点直径大于气体导入孔12。据此,确保光L1入射到气体导入孔12上。光L1相对于基材11的表面基本垂直地射出。这里,基本垂直是指:在基材11的厚度为t且气体导入孔12的直径为d的情况下,相对于垂直,tan(d/t)°不足的情况。
受光部220是将透过气体导入孔12的光L2作为二维图像进行接收的区域传感器。光L2是通过发光部210发射的光光L1透过气体导入孔12而形成的光。受光部220将该光L2作为二维图像进行接收并且转换成电信号。
此外,即使使用红外作为光L1的情况下,受光部220也不接收透过基材11的光,而是接收透过气体导入孔12的光。换言之,使用红外作为光L1的情况下,虽然存在透过基材11的光,但是受光部220基本不接收透过基材11的光,而是接收穿过气体导入孔12的光。
这里,受光部220可以直接接收透过气体导入孔12的光L2,也可以通过半透过屏SCL来接收光L2。半透过屏SCL配置在基材11和受光部220之间。半透过屏SCL与受光部220一起可进退地设置。而且,半透过屏SCL可以紧贴在基材11的面上。在光L2的强度高的情况下,如果通过受光部220接收投影在半透过屏SCL上的光L2的像,则通过半透过屏SCL可以得到过滤效果。
图像处理部22进行基于从受光部220输出的电信号的图像处理,进行气体导入孔12的测量。也就是,图像处理部22对受光部220接受的光L2的二维图像进行图像处理,将基于该处理结果的气体导入孔12的状态作为测量结果输出。
在本实施方式的气体导入孔12的测量方法中,利用了根据气体导入孔12的状态来变化透过气体导入孔12的光L2的量、前进路径、发射状态等,非破坏地测量基于光L2的二维图像的气体导入孔12的状态。电极10上设置的气体导入孔12非常细,长宽比高,而且在基材11上设置很多。为此,通过电极10的表面图像不能进行气体导入孔12的内部的检查。而且,沿着表面方向获得X线图像,并且其与其他气体导入孔12的图像重复,因此不能进行高精度的测量。通过钻头对气体导入孔12进行穿孔并且规定内面粗糙度的情况下,必须注意电极10的破坏。如本实施方式,可以使光L1照射气体导入孔12,取得透过的光L2的二维图像,通过二维图像和气体导入孔12状态的相关来非破坏地高精度测量气体导入孔12。
接着,对气体导入孔12和光L2的二维图像的相关进行说明。
图8(a)至图9(d)是示例性示出了气体导入孔状态和图像的关系的示意图。
在图8(a)中,示出了内壁面的平坦度高且相对于基材11基本垂直设置的气体导入孔12的截面图。在光L1照射这种气体导入孔12的情况下,光L1多数笔直地透过气体导入孔12。
图8(b)中示出了图8(a)所示的透过气体导入孔12的光L2的二维图像G1的示例。该二维图像G1中,清晰地示出了气体导入孔12的像。图8(b)中示出了二维图像G1的扫描线SL1上的信号SG1的示例。图像处理部225计算沿着二维图像G1的扫描线SL1的信号SG1的变化(斜率等)。例如,可以根据信号SG1的边界部分的信号变化(微分值、二次微分值等),来测量气体导入孔12的内壁面的平坦性。而且,图像处理部225可以从二维图像G1的边界来测量气体导入孔12的正圆度。
在图8(c)中示出了内壁面的平坦性不高的气体导入孔12的截面图。光L1照射这种气体导入孔12的情况下,光L1碰到气体导入孔12的内壁面的凹凸,反复反射并且透过。
图8(d)中示出了图8(c)所示的透过气体导入孔12的光L2的二维图像G2的示例。该二维图像G2中,未清晰地示出了气体导入孔12的像。图8(d)中示出了二维图像G2的扫描线SL2上的信号SG2的示例。图像处理部225可以根据信号SG2的边界部分的信号变化,来测量气体导入孔12的内壁面的平坦性。与二维图像G1相比,二维图像G2的边界部分的信号变化变缓。可以根据该信号变化来测量气体导入孔12的内壁面的平坦性。
进一步,图像处理部225可以根据信号SG2的变化来区域划分成二维图像G2的中央区域(亮度高的区域)R1和周边区域(与中央区域相比亮度低的区域)R2,并且根据它们的面积比例,来定量地求取气体导入孔12的内壁面的平坦性。例如,将表示二维图像G2的全部区域作为R0,根据与区域R0相对应的周边区域R2的面积的比例,来求取内壁面的平坦性。内壁面的平坦性越低(凹凸多),与区域R0相对的周边区域R2的面积比例就越高。通过使用该特性,可以定量地求取内壁面的平坦性。
在图9(a)中,示出了相对于基材11倾斜设置的气体导入孔12的截面图。在光L1照射这种气体导入孔12的情况下,进入气体导入孔12的光L1的一部分被内壁面遮挡。
图9(b)中示出了图9(a)所示的透过气体导入孔12的光L2的二维图像G3的示例。在该二维图像G3中,清晰地示出的全部形状的边界部分不是正圆。也就是,气体导入孔12的光入口侧的开口中心与出口侧的开口中心偏离,所以从基材11的正上面来看,只有两开口重复的区域R3透过光。因此,二维图像G3为与该区域R3相应的大致椭圆形。图像处理部225可以基于二维图像G3的形状,测量与基材11相对的气体导入孔12的垂直程度。作为垂直程度,例如除了与基材11表面相对的气体导入孔12的垂直轴为基准的角度之外,还可以包含与垂直轴的角度偏差是否在容许范围内。
在图9(c)中示出了气体发射侧的开口角部消耗的气体导入孔12的截面图。电极10如果劣化,气体导入孔12的气体发射侧的开口角部含有圆角。在光L1照射这种气体导入孔12的情况下,光L1多数笔直地透过气体导入孔12。而且,光L1的一部分碰到气体导入孔12的气体发射侧的开口角部,且进行散射。
图9(d)中示出了图9(c)所示的透过气体导入孔12的光L2的二维图像G4的示例。该二维图像G4中,气体导入孔12的图像比较清晰地显示在中央区域R1中,同时周边区域R2中显示散射光的图像。当中央区域R1中显示的比较清晰地图像的大小基本等于气体导入孔12的直径且周边区域R2中显示散射光的图像时,图像处理部225判断为气体导入孔12的气体发射侧的开口角部产生圆角。
而且,判断气体发射侧的开口角部产生圆角的情况下,根据周边区域R2的面积来判断角部的圆角的大小。也就是说,角部的圆角越大,周边区域R2的面积越大。通过使用该特性,可以定量地求取气体发射侧的开口角部的圆角。
这样,在本实施方式的气体导入孔12的测量方法中,利用受光部220接收的光L2的二维图像和气体导入孔12状态之间的关系,通过图像处理部225来I处理二维图像,可以非破坏地测量气体导入孔12是什么状态。
而且,通过本实施方式的测量方法可以测量气体导入孔12的状态,因此可以基于该测量结果来判断等离子体蚀刻装置100的电极10的寿命。首先,通过本实施方式的测量方法来测量作为电极10使用之前(新品)的气体导入孔12的状态。接着,使用同样方法来测量使用某时间后的电极10的气体导入孔12的状态。
该使用后测量的气体导入孔12的状态超过预定的规定的情况下,判断电极10达到寿命。而且,通过定期地测量气体导入孔12的状态,基于测量结果的变化,可以预测电极10的剩余寿命。
图10(a)~(c)是示出气体导入孔的二维图像的照片。
在图10(a)中,示出了使用硅的电极10的使用前的气体导入孔12的二维图像G10。该图像是通过受光部220对透过气体导入孔12的光L2直接拍摄而得到的图像。在使用前,为清晰示出轮廓的二维图像G10。
在图10(b)中,示出了使用硅的电极10的使用后的气体导入孔12的二维图像G20。该图像是将透过气体导入孔12的光L2投影到半透过屏SCL上来通过受光部220拍摄的图像。该电极10是通过等离子体蚀刻装置100使用约200小时后的电极。在使用后,可以看出轮廓模糊的二维图像G20。而且,与生成二维图像G20的气体导入孔12的内壁面的凹凸的部分相对应,可见色调(或者浓淡)的变化。基于该变化的区域,可以定量地测量气体导入孔12的内壁面的状态。
在图10(c)中,示出了使用石英的电极10的使用前的气体导入孔12的二维图像G30。该图像是将透过气体导入孔12的光L2投影到半透过屏SCL上来通过受光部220拍摄的图像。在使用石英的电极10中,因为照射的光碰到气体导入孔12的周边基材11而进行散射,所以不会形成清晰的二维图像G30。但是,通过使用二维图像G30的信号波形,可以通过使用前后的信号波形的不同来测量气体导入孔12的状态。
作为测量对象物的气体导入孔12的直径d非常小,并且直径d相对于厚度t的比例为数%左右。这样光照射仅非常细长的气体导入孔12而得到透过气体导入孔12的光的图像的情况下,可以得到对应一般对象物使用光测量而不能得到的特殊的图像。例如,在由硅单结晶生成的基材11上设置的气体导入孔12中照射蓝色激光的情况下,通过透过气体导入孔12的光的二维图像,可见与气体导入孔12的内壁面凹凸对应的部分的颜色变化。这样,通过利用基材11的材料、光的波长和气体导入孔12的状态之间的关系,可以进行与仅通过光的照射得到的图像而进行的测量所不同的特征测量。
(气体导入孔的其他测量方法)
接着,将说明气体导入孔12的其他测量方法。
图11(a)和(b)是示例性示出气体导入孔的其他测量方法的示意图。
根据该测量方法,通过之前说明的光L1的照射得到二维图像,从基材11的表面侧得到气体导入孔12的图像(开口部图像),并且基于这些图像来进行测量。
用于进行该测量的测量装置300,在之前说明的测量装置200的结构的基础上,还包括相机310和图像处理部315。相机310获取电极10表面的图像。相机310可以可移动地设置在电极10的保持部141上。通过相机310,来获得气体导入孔12的光L1的入射车的开口部图像。图像处理部315对相机310获得的开口部图像进行处理。此外,图像处理部315可以兼用作图像处理部225。
在通过该测量装置300来测量气体导入孔12使,首先,如图11(a)所示,相机310获得气体导入孔12的开口部图像。也就是说,将相机310配置在作为测量对象的气体导入孔12的正上,取得气体导入孔12的开口部图像。
接着,如图11(b)所示,发光部210配置在作为测量对象的气体导入孔12的正上,同时在气体导入孔12的正下配置受光部220。然后,通过发光部210使光L1照射气体导入孔12,通过受光部220获得透过气体导入孔12的光L2的二维图像。
接着,图像处理部315处理通过相机310获得的开口部图像,且同时处理通过受光部220获得的二维图像,这样测量气体导入孔12的状态。也就是,通过该测量方法,使用通过相机310获得的气体导入孔12的开口部图像和透过气体导入孔12的光L2的二维图像,来非破坏地测量气体导入孔12的状态。
图12(a)~(h)是示例性示出通过相机获得的图像和二维图像的示意图。
图12(a)、(c)、(e)和(g)中示出了相机310获得的开口部图像的示例,图12(b)、(d)、(f)和(h)中示出了受光部220获得的二维图像的示例。
图12(a)和(b)所示的示例是图8(a)所示的气体导入孔12的测量示例。该气体导入孔12具有高平坦度的内壁面,并且相对于基材11基本垂直地设置。这种情况下,图12(a)所示的相机310获得的开口部图像G11的直径基本等于图12(b)所示的受光部220获得的二维图像G12的直径。而且,二维图像G12被清晰地示出。因此,在获得这种开口部图像G11和二维图像G12的情况下,判断为从气体导入孔12的入口到出口笔直地形成孔并且内壁面的平坦度很高。
图12(c)和(d)所示的示例是图8(c)所示的气体导入孔12的测量示例。该气体导入孔12具有平坦度不高的内壁面。这种情况下,图12(c)所示的相机310获得的开口部图像G13的直径基本等于气体导入孔12直径的设计值。图12(d)所示的受光部220获得的二维图像G14没有被清晰地示出。因此,在获得这种开口部图像G13和二维图像G14的情况下,判断为虽然项城与设计值接近直径的气体导入孔12,但是内壁面的平坦度不高。
图12(e)和(f)所示的示例是图9(a)所示的气体导入孔12的测量示例。该气体导入孔12相对于基材11倾斜地形成。这种情况下,图12(e)所示的相机310获得的开口部图像G15的直径基本等于气体导入孔12直径的设计值。图12(f)所示的受光部220获得的二维图像G16为与区域R16相当的大致椭圆形。因此,因此在得到这种开口部图像G15和G16的情况下,判断为虽然形成与设计值相近直径的气体导入孔12,但是其相对于基材11倾斜地形成。
而且,根据受光部220和基材11的气体导入孔12的边缘之间的距离,来计算倾斜角度。如图13所示,在基材11的厚度为d1、并且在受光部220上投影的气体导入孔12的边缘位置和基材11侧的气体导入孔12的边缘位置之间沿受光部220的受光面的距离为d2的情况下,通过tan-1(d2/d1),可以计算气体导入孔12的倾斜角度θ。
图12(g)和(h)示出的示例为气体导入孔12的直径小的情况下的测量示例。该气体导入孔12的直径小于设计值。这种情况下,图12(g)所示的相机310获得的开口部图像G17的直径基本等于图12(h)所示的受光部220获得的二维图像G18的直径。因此,该直径小于设计值。因此,在得到这种开口部图像G17和二维图像G18的情况下,判断为虽然从气体导入孔12的入口至出口笔直地形成孔,但是其直径小于设计值。
此外,在直径大于设计值得气体导入孔12的情况下,相机310获得的开口部图像的直径与受光部220获得的二维图像的直径大体相等,同时示出该气体导入孔12直径大于设计值。
这样,基于相机310获得的图像和受光部220获得的二维图像的关系,可以测量更详细的气体导入孔12的状态。此外,以上仅为示例,也可以根据它们的组合或者其他关系,来检测气体导入孔12的各种状态。
特别是,本实施方式的气体导入孔12的测量方法,使光L1照射气体导入孔12并且通过接收透过气体导入孔12的光L2来进行测量,因此适于测量内壁面平坦性优异的气体导入孔12的情况。因此,在测量通过钻孔加工在基材11上穿孔后通过蚀刻处理来使内壁面平坦化的气体导入孔12时特别有效。
而且,在等离子体蚀刻装置100中,进行干蚀刻而生成的物质有时会附着在气体导入孔12的内壁上。即使这种物质附着在气体导入孔12上,也可以通过获取透过气体导入孔12的光L2来定量地测量物质附着状态。
此外,在本实施方式的气体导入孔12的测量方法中,以气体导入孔12从基材11的一方到另一方笔直地设置的情况为对象进行说明,但是也可以将基材11图中弯曲的气体导入孔12作为对象。即便气体导入孔12弯曲,从基材11的一方照射的光L1也可以在气体导入孔12内壁中一边被反射一边前进,从而成为光L2,由受光部220获取。通过使用不透过基材11的波长的光作为光L1,即使是弯曲的气体导入孔12,受光部220也可以接收通过气体导入孔12内部的光,从而可以测量气体导入孔12的状态。
而且,通过应用本实施方式的气体导入孔12的测量方法,可以判断气体导入孔12的均一性。因此,在基材11上具有多个气体导入孔12的电极10中,可以挑选使从基材11的一面侧照射的光L1通过多个气体导入孔12到达基材11的另一面侧的电极10。
通过该挑选,在电极10中,如果透过多个气体导入孔12的光L2强度的偏差(例如,标准偏差σ)在预设的一定值以下,则可以判断电极10是良品。
通过将上述电极10(多个气体导入孔12中光L1可以透过的电极,或者光L2的强度偏差在一定值以下的电极)作为上部电极120的等离子体蚀刻装置100,可以在上部电极120和下部电极130之间高均一性的导入反应气体,从而可以对对象物W进行稳定地处理。
对于等离子体蚀刻装置用电极中的气体导入孔,可以从反应气体导入中的均一性或维护等观点来考虑各种形式。但是,在任何形式中,适用于实际的电极气体导入孔的情况下,非破坏地掌握是否成为设定的形状是很困难的。
根据本实施方式的气体导入孔12的测量方法,可以非破坏地测量实际用于(或者使用中的)等离子体蚀刻装置100的电极10本身,可以得到气体导入孔12的客观数据。本申请发明者最新发现根据这种测量方法可以得到气体导入孔12的客观数据。因此,通过本实施方式的气体导入孔12的测量方法进行测量并且特定多个气体导入孔12的状态,使用进行该测量的电极10,来通过等离子体蚀刻装置100进行干蚀刻。据此,可以提高根据干蚀刻的加工特性、成品率和生产率。
而且,可以掌握实际在等离子体蚀刻装置100中使用的电极10的气体导入孔12的堵塞、气体导入孔12内径的扩展、气体导入孔12内壁面的堆积物的附着状态,所以堆积物从电极10下落到硅晶片上或者腔室中作为颗粒漂浮,可以减轻或避免半导体元件成为不良品的风险。
(状态分布图)
图14(a)和(b)是说明本实施方式的状态分布图的视图。图14(a)中示出状态分布图MP的一个示例,图14(b)中示出用于制作状态分布图的数据的一个示例。
如图14(a)所示,本实施方式的状态分布图MP用于显示设计成在厚度方向上贯穿等离子体蚀刻装置用电极10中的基材11的方式而设计的多个气体导入孔的状态。状态分布图MP将基材11面内的多个气体导入孔的位置对应的多个气体导入孔的各个的状态通过与该状态对应的显示方式进行显示。
这里,对本实施方式的状态分布图MP进行详细说明时,对等离子体蚀刻装置用电极10、使用该电极10的等离子体蚀刻装置和电极10的制造方法进行说明。
(状态分布图的显示方法)
接着,对状态分布图的显示方法进行说明。
图15(a)和(b)是说明状态分布图的显示方法的视图。图15(a)中示出用于显示状态分布图MP的计算机500的结构,图15(b)示出示例性示出状态分布图MP的显示方法的流程图。
状态分布图MP的显示方法例如通过计算机500的程序处理来实现。该程序存储在CD-ROM等的媒介中,存储在服务器等的存储装置中,或者通过网络等传输。
计算机500包括CPU(Central Processing Unit)511、接口512、输出部513、输入部514、主存储部515、和副存储部516。
CPU511通过执行各种程序来控制各部分。CPU511也可以是执行显示状态分布图MP的程序的部分。接口512是与外部设备进行信息输入输出的部分。接口512是将计算机500与LAN(Local Area Network)或WAN(Wide Area Network)进行连接的部分。接口512也可以是从下述的测量装置200输入气体导入孔12的状态的测量结果(数据)的部分。
输出部513是将计算机500处理后的结果输出的部分。输出部513也可以是显示状态分布图MP的部分。输入部514是接收来自用户的信息的部分。输入部514中使用键盘或鼠标等。而且,输入部514具有读取记录介质MM中记录的信息的功能。
主存储部515中例如使用RAM(Random Access Memory)。也可以使用副存储部516的一部分作为主存储部515的一部分。副存储部516中例如使用HDD(Harddisk drive)或SSD(Solid State Drive)。副存储部516也可以使通过网络连接的外部存储装置。
如图15(b)所示,状态分布图MP的显示方法中,首先,首先进行将多个气体导入孔12的各个的状态的测量结果(数据)获取至计算机500的处理(步骤S301)。数据至少是图13(b)所示的X、Y坐标和状态的测量结果。CPU511基于预定条件从该数据中进行良好、普通或不良的判断,求取与判断结果对应的显示对应。
接着,进行变换成状态分布图MP的处理(步骤S302)。CPU511基于与获取的数据的X、Y坐标和与各坐标对应的显示方式,来进行将状态分布图MP变换成显示用的图像数据的处理。
接着,进行状态分布图MP的显示(步骤S303)。CPU511将之前步骤S302变换的图像数据作为图像显示在输出部513上。据此,输出部513显示状态分布图MP。
(状态分布图的显示示例)
图16(a)和(b)是示出状态分布图的显示示例(其1)的视图。
图16(a)中在电极10的图像10G和基材11的图像11G中将气体导入孔12的状态分布显示在XY平面上。根据该状态分布图MP,可以视觉上掌握电极10(基材11)中多个气体导入孔12的状态的面内分布。
而且,图16(b)中示出了图16(a)中状态分布图MP的沿扫描线SL的状态分布。参照计算机500的输出部513中显示的图16(a)所示的状态分布图MP,用户操作输入部514(键盘等)并且将扫描线SL设定在期望位置。据此,如图16(b)所示,显示沿扫描线SL的气体导入孔12的状态。用户如果移动扫描线SL,与其相应,图6(b)所示的状态也一起变化。
通过图16(b)所示的扫描线SL上的气体导入孔12的状态显示,显示与各个气体导入孔12状态对应的色彩区分,并且通过与高度对应的方式显示测量结果。用户通过选择孔径或内壁面粗糙度等任意的测量项目,也可以通过高度来掌握该测量结果。
此外,扫描线SL不限于沿X轴的方向,也可以是沿Y轴的方向或倾斜方向。而且,扫描线SL线不限于直线,可以任意地设成折线或曲线等。
图17是示出状态分布图的显示示例(其2)的视图。
图17所示的状态分布图MP中,将电极10的图像10G和基材11的图像11G进行三维显示,并且通过高度来显示多个气体导入孔12的状态。而且,该显示中,使用高度显示气体导入孔12的状态同时,也可以使用与状态对应的区分颜色来显示。通过将状态分布图MP进行三维显示,更容易地掌握电极10的全体气体导入孔12的状态。
此外,用户可以任意地设定状态分布图MP的三维显示角度。例如,可以根据输入部514(鼠标等)的操作来任意地选择倾斜角度和参考角度。
而且,在本实施方式的状态分布图MP及显示方法中,也可以锁着在等离子体蚀刻装置100中使用电极10的时间的经过来求取状态分布图MP。据此,可以根据按时序排列的状态分布图MP来把握电极10的消耗状况。
而且,也可以求取按时序排列的状态分布图MP中各时间的差分来作为状态分布图MP显示。根据示出该差分的状态分布图MP,可以容易地掌握与电极10面内位置对应的气体导入孔12的劣化情况。
(状态的预测)
接着,对状态的预测进行说明。
根据本测量方法,可以定量地测量气体导入孔12的状态,从而可以通过定期地进行测量,来从测量结果的变化中预测电极10的更换时间。
图18是说明状态(测量结果)变化及预测的视图。图18的横轴表示时间,纵轴表示状态(测量结果)。
作为一个示例,图18中示出了气体导入孔12的测量结果m1、m2和m3随时间的变化(时间t1~t4)。通过对各测量结果m1、m2和m3的时间t1~t4进行绘制,求得近似曲线。然后,该近似曲线与阈值Th相交的时间预测为气体导入孔12变为不良时的时间。
例如,在测量结果m1中,近似曲线与阈值Th相交的时间为tx1。时间tx1在时间t4和时间t5之间,在该时间tx1处,预测气体导入孔12成为不良状态。而且,在测量结果m2中,近似曲线与阈值Th相交的时间为tx2。时间tx2在时间t5和时间t6之间,在该时间tx2处,预测气体导入孔12成为不良状态。在测量结果m3中,直到时间t6也没有出现近似曲线与阈值Th相交的时间。因此,在该气体导入孔12中,预测直到时间t6也没有变成不良状态。这样,从各气体导入孔12的测量结果随时间的变化中,可以越策各个气体导入孔12的状态,基于此可以预测电极10的更换时间。
图19(a)~(c)是示出基于预测的状态分布图的示例的视图。
如之前说明的,如果根据本测量方法定期测量气体导入孔12的状态并且求取随时间的变化,则可以根据该测量结果来预测气体导入孔12的状态变化。图19(a)~(b)示出了将该预测结果作为状态分布图MP(1)~MP(3)进行表示的示例。按照图19(a)所示的状态分布图MP(1)、图19(b)所示的状态分布图MP(2)和图19(c)所示的状态分布图MP(3)的顺序,预测时间前进。通过将预测结果以状态分布图MP(1)~MP(3)进行表示,可以视觉上把握电极10的状态和更换时间。
如上所述,通过本实施方式的气体导入孔的测量方法和电极,可以高精度地测量在等离子体蚀刻装置用电极上设置的气体导入孔,可以提供具有高精度气体导入孔的电极。
而且,根据本实施方式的等离子体蚀刻装置用电极10的再生方法,可以使使用后的电极10再生。据此,与时间管理情况相比,可以更长地使用相同基材11的电极10,并且可以降低运行成本。
而且,根据本实施方式的等离子体蚀刻装置用电极10的再生方法,可以使使用后的电极10再生。据此,与时间管理情况相比,可以更长地使用相同基材11的电极10,并且可以降低运行成本。
而且,通过本实施方式的等离子体蚀刻装置,可以高精度地测量在等离子体蚀刻装置用电极上设置的气体导入孔,可以提供具有高精度气体导入孔的电极。
而且,通过本实施方式的状态分布图MP和显示方法,可以容易地掌握等离子体蚀刻装置用电极上设置的气体导入孔的状态,并且可以客观上且视觉上判断电极10的状态和寿命。
此外,虽然在上面说明了本实施方式及其他示例,但是本发明不限于这些示例。例如,虽然本实施方式中将本测量方法用作气体导入孔12,但是可以使用本测量方法之外的测量方法来测量气体导入孔12的状态。而且,本实施方式示出的状态分布图MP仅仅是一个示例,判断和显示方式并不限于此。而且,对于前述的个实施方式或者其他示例,在本领域技术人员适当地进行了构成要素的追加、删除、设计变更的情况、或适当地组合了各实施方式的特征的情况下,只要具备本发明的主旨,就包含在本发明的范围内。
符号说明
10 电极
11 基材
12 气体导入孔
100 等离子体蚀刻装置
110 腔室
120 上部电极
121 气体导入孔
130 下部电极
140 气体导入孔
141 保持部
150 排气通路
160 泵
170 高频施加部
200 测量装置
210 发光部
215 控制器
220 受光部
225 图像处理部
300 测量装置
310 相机
315 图像处理部
L1、L2 光
MP、MP(1)、MP(2)、MP(3) 状态分布图
SCL 半透过屏
W 对象物

Claims (49)

1.一种等离子体蚀刻装置用电极上设置的气体导入孔的测量方法,所述气体导入孔设置成沿厚度方向贯穿所述等离子体蚀刻装置用电极中的基材,其特征在于,所述方法包括:
使光从所述基材的一面侧朝向所述气体导入孔进行照射;
获得通过所述气体导入孔而透过所述基材的另一面侧的所述光的二维图像;以及
基于所述二维图像,测量所述气体导入孔的直径、内壁面的粗糙度和垂直程度中的至少一个。
2.如权利要求1所述的等离子体蚀刻装置用电极上设置的气体导入孔的测量方法,其特征在于,所述光是相干光。
3.如权利要求1所述的等离子体蚀刻装置用电极上设置的气体导入孔的测量方法,其特征在于,基于沿所述二维图像的扫描线的信号的斜率,来测量所述气体导入孔的内壁面的粗糙度。
4.如权利要求1所述的等离子体蚀刻装置用电极上设置的气体导入孔的测量方法,其特征在于,还包括:从所述基材的一面侧来获取所述气体导入孔的开口部图像,
在测量所述气体导入孔时,基于所述二维图像和所述开口部图像来进行测量。
5.一种电极,用于等离子体蚀刻装置,其特征在于,
包括设有多个气体导入孔的板状基材,所述多个气体导入孔在厚度方向上贯穿所述板状基材,
所述多个气体导入孔的直径被测量。
6.一种电极,其特征在于,在通过权利要求1所述的测量方法进行测量的情况下,通过将所述多个气体导入孔的内壁面的粗糙度和垂直程度中的至少一个收敛在预设的一定范围内来形成。
7.如权利要求5所述的电极,其特征在于,所述基材的主材料是硅。
8.如权利要求5所述的电极,其特征在于,所述基材的主材料是石英。
9.如权利要求5所述的电极,其特征在于,所述基材的主材料是碳化硅。
10.如权利要求5所述的电极,其特征在于,在所述基材上设置多个气体导入孔,从所述基材的一面侧照射的光通过所述多个气体导入孔,到达所述基材的另一面侧。
11.如权利要求5所述的电极,其特征在于,透过所述多个气体导入孔的光的强度的偏差为预设的一定值以下。
12.一种等离子体蚀刻装置用电极的再生方法,所述电极设有在基材厚度方向中贯穿的气体导入孔,其特征在于,所述方法包括:
测量在所述等离子体蚀刻装置中使用了规定时间的所述电极的所述气体导入孔的状态;
基于所述气体导入孔的状态的测量结果,进行所述基材的表面的研磨和所述气体导入孔的内壁面的加工的至少之一;以及
测量加工后的所述气体导入孔的状态。
13.如权利要求12所述的等离子体蚀刻装置用电极的再生方法,其特征在于,包括:
测量所述气体导入孔的状态;
使光从所述基材的一面侧朝向所述气体导入孔进行照射;
获得通过所述气体导入孔而透过所述基材的另一面侧的所述光的二维图像;以及
基于所述二维图像,测量所述气体导入孔的直径、内壁面的粗糙度和垂直程度中的至少一个。
14.如权利要求13所述的等离子体蚀刻装置用电极的再生方法,其特征在于,所述光是相干光。
15.如权利要求13所述的等离子体蚀刻装置用电极的再生方法,其特征在于,基于沿所述二维图像的扫描线的信号的斜率,来测量所述气体导入孔的内壁面的粗糙度。
16.如权利要求13所述的等离子体蚀刻装置用电极的再生方法,其特征在于,还包括:从所述基材的一面侧来获取所述气体导入孔的开口部图像,
在测量所述气体导入孔时,基于所述二维图像和所述开口部图像来进行测量。
17.如权利要求12所述的等离子体蚀刻装置用电极的再生方法,其特征在于,在所述气体导入孔的状态的测量结果中,在所述气体导入孔的内壁面的粗糙度收敛在预设范围内时,对所述基材的表面进行研磨,当不收敛在预设范围内时,对所述气体导入孔的内壁面进行加工。
18.如权利要求12所述的等离子体蚀刻装置用电极的再生方法,其特征在于,所述气体导入孔的内壁面加工包括使所述气体导入孔的直径变大的穿孔加工和对所述气体导入孔的内壁面的蚀刻加工的至少一种。
19.如权利要求12所述的等离子体蚀刻装置用电极的再生方法,其特征在于,所述气体导入孔的内壁面加工包括进行使所述气体导入孔的直径变大的穿孔加工之后,对所述气体导入孔的内壁面进行蚀刻加工。
20.如权利要求12所述的等离子体蚀刻装置用电极的再生方法,其特征在于,所述基材的主材料是硅。
21.如权利要求12所述的等离子体蚀刻装置用电极的再生方法,其特征在于,所述基材的主材料是石英。
22.如权利要求12所述的等离子体蚀刻装置用电极的再生方法,其特征在于,所述基材的主材料是碳化硅。
23.一种等离子体蚀刻装置用的再生电极,设有贯穿基材的厚度方向的气体导入孔,其特征在于,
测量再生前的电极的所述气体导入孔的状态,基于所述气体导入孔的测量结果来进行所述基材的表面研磨和所述气体导入孔的内壁面加工的至少一种,测量加工后的所述气体导入孔的状态。
24.如权利要求23所述的等离子体蚀刻装置用的再生电极,其特征在于,所述气体导入孔的状态的测量包括:
使光从所述基材的一面侧朝向所述气体导入孔进行照射;
获得通过所述气体导入孔而透过所述基材的另一面侧的所述光的二维图像;以及
基于所述二维图像,测量所述气体导入孔的直径、内壁面的粗糙度和垂直程度中的至少一个。
25.如权利要求24所述的等离子体蚀刻装置用的再生电极,其特征在于,所述光是相干光。
26.如权利要求24所述的等离子体蚀刻装置用的再生电极,其特征在于,基于沿所述二维图像的扫描线的信号的斜率,来测量所述气体导入孔的内壁面的粗糙度。
27.如权利要求24所述的等离子体蚀刻装置用的再生电极,其特征在于,所述气体导入孔的状态的测量还包括:
从所述基材的一面侧来获取所述气体导入孔的开口部图像,
在测量所述气体导入孔时,基于所述二维图像和所述开口部图像来进行测量。
28.如权利要求23所述的等离子体蚀刻装置用的再生电极,其特征在于,在所述气体导入孔的状态的测量结果中,在所述气体导入孔的内壁面的粗糙度收敛在预设的范围内时,所述基材的表面被研磨,当不收敛在范围内时,所述气体导入孔的内壁面被加工。
29.如权利要求23所述的等离子体蚀刻装置用的再生电极,其特征在于,所述气体导入孔的内壁面加工包括使所述气体导入孔的直径变大的穿孔加工和所述气体导入孔的内壁面的蚀刻加工的至少一种。
30.如权利要求23所述的等离子体蚀刻装置用的再生电极,其特征在于,所述气体导入孔的内壁面加工包括进行使所述气体导入孔的直径变大的穿孔加工之后,对所述气体导入孔的内壁面进行蚀刻加工。
31.如权利要求23所述的再生电极,其特征在于,所述基材的主材料是硅。
32.如权利要求23所述的再生电极,其特征在于,所述基材的主材料是石英。
33.如权利要求23所述的再生电极,其特征在于,所述基材的主材料是碳化硅。
34.一种等离子体蚀刻装置,其特征在于,包括:
腔室;
上部电极,设置在所述腔室内,并且具有贯穿基材厚度方向的气体导入孔;
下部电极,设置在所述腔室内并且与所述上部电极对向;
高频施加部,在所述腔室内的所述上部电极和所述下部电极之间施加高频;以及
测量部,测量所述气体导入孔的状态,
所述测量部包括:
发光部,使光从所述基材的一面侧朝向所述气体导入孔进行照射;
受光部,获得通过所述气体导入孔而透过所述基材的另一面侧的所述光的二维图像;以及
图像处理部,基于所述二维图像,进行测量所述气体导入孔的直径、内壁面的粗糙度和垂直程度中的至少一个的处理。
35.如权利要求34所述的等离子体蚀刻装置,其特征在于,所述光是相干光。
36.如权利要求34所述的等离子体蚀刻装置,其特征在于,所述图像处理部基于沿所述二维图像的扫描线的信号的斜率,来测量所述气体导入孔的内壁面的粗糙度。
37.如权利要求34所述的等离子体蚀刻装置,其特征在于,还包括图像获取部,从所述基材的一面侧来获取所述气体导入孔的开口部图像,
在测量所述气体导入孔时,基于所述二维图像和所述图像获取部获取的所述开口部图像来进行测量。
38.如权利要求34所述的等离子体蚀刻装置,其特征在于,所述发光部可移动地设置在保持所述上部电极的保持部上。
39.如权利要求34所述的等离子体蚀刻装置,其特征在于,所述发光部可进退地设置在所述上部电极和所述下部电极之间。
40.一种等离子体蚀刻装置,其特征在于,包括:
腔室;
上部电极,设置在所述腔室内,并且具有贯穿基材厚度方向的气体导入孔;
下部电极,设置在所述腔室内并且与所述上部电极对向;以及
高频施加部,在所述腔室内的所述上部电极和所述下部电极之间施加高频,
所述上部电极,
在所述基材上设置多个气体导入孔,从所述基材的一面侧照射的光通过所述多个气体导入孔,到达所述基材的另一面侧。
41.如权利要求40所述的等离子体蚀刻装置,其特征在于,透过所述多个气体导入孔的光的强度的偏差为预设的一定值以下。
42.一种等离子体蚀刻装置用电极上设置的气体导入孔的状态分布图,用于显示等离子体蚀刻装置用电极中设置成在厚度方向上贯穿基材的多个气体导入孔的状态,其特征在于,
与所述基材的面内的所述多个气体导入孔的位置相对应,将所述多个气体导入孔的各个的状态通过与该状态对应的显示方式来进行显示。
43.如权利要求42所述的等离子体蚀刻装置用电极上设置的气体导入孔的状态分布图,其特征在于,所述显示方式为颜色、样式和高度中的至少一种。
44.如权利要求42所述的等离子体蚀刻装置用电极上设置的气体导入孔的状态分布图,其特征在于,所述气体导入孔的状态为以下操作后的结果:
使光从所述基材的一面侧朝向所述气体导入孔进行照射;
获得通过所述气体导入孔而透过所述基材的另一面侧的所述光的二维图像;以及
基于所述二维图像,测量所述气体导入孔的直径、内壁面的粗糙度和垂直程度中的至少一个。
45.一种等离子体蚀刻装置用电极上设置的气体导入孔的状态分布图的显示方法,将对等离子体蚀刻装置用电极中设置成在厚度方向上贯穿基材的多个气体导入孔的状态进行显示的分布图显示在显示部中,其特征在于,所述方法包括:
将所述多个气体导入孔的各个的状态的测量结果读取至运算部;以及
基于所述测量结果,对应于所述基材的面内的所述多个气体导入孔的位置,将所述多个气体导入孔的各个的状态通过与该状态对应的显示方式显示在显示部中。
46.如权利要求45所述的等离子体蚀刻装置用电极上设置的气体导入孔的状态分布图的显示方法,其特征在于,所述气体导入孔的状态的测量包括:
使光从所述基材的一面侧朝向所述气体导入孔进行照射;
获得通过所述气体导入孔而透过所述基材的另一面侧的所述光的二维图像;以及
基于所述二维图像,测量所述气体导入孔的直径、内壁面的粗糙度和垂直程度中的至少一个。
47.如权利要求46所述的等离子体蚀刻装置用电极上设置的气体导入孔的状态分布图的显示方法,其特征在于,所述光是相干光。
48.如权利要求46所述的等离子体蚀刻装置用电极上设置的气体导入孔的状态分布图的显示方法,其特征在于,基于沿所述二维图像的扫描线的信号的斜率,来测量所述气体导入孔的内壁面的粗糙度。
49.如权利要求46所述的等离子体蚀刻装置用电极上设置的气体导入孔的状态分布图的显示方法,其特征在于,所述气体导入孔的状态的测量包括:
从所述基材的一面侧来获取所述气体导入孔的开口部图像,
所述气体导入孔的状态包括:基于所述二维图像和所述开口部图像来进行测量的结果。
CN201580032059.1A 2014-12-26 2015-12-25 测量方法、电极、再生方法、等离子体蚀刻装置和显示方法 Active CN106663625B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201910872152.3A CN110491763B (zh) 2014-12-26 2015-12-25 再生电极

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
JP2014-266575 2014-12-26
JP2014-266574 2014-12-26
JP2014266574 2014-12-26
JP2014266572 2014-12-26
JP2014266571 2014-12-26
JP2014-266573 2014-12-26
JP2014-266572 2014-12-26
JP2014266573 2014-12-26
JP2014266575 2014-12-26
JP2014-266571 2014-12-26
PCT/JP2015/086349 WO2016104754A1 (ja) 2014-12-26 2015-12-25 プラズマエッチング装置用の電極に設けられたガス導入孔の測定方法、電極、電極の再生方法、再生電極、プラズマエッチング装置、ガス導入孔の状態分布図及びその表示方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201910872152.3A Division CN110491763B (zh) 2014-12-26 2015-12-25 再生电极

Publications (2)

Publication Number Publication Date
CN106663625A true CN106663625A (zh) 2017-05-10
CN106663625B CN106663625B (zh) 2019-10-25

Family

ID=56150766

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201580032059.1A Active CN106663625B (zh) 2014-12-26 2015-12-25 测量方法、电极、再生方法、等离子体蚀刻装置和显示方法
CN201910872152.3A Active CN110491763B (zh) 2014-12-26 2015-12-25 再生电极

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201910872152.3A Active CN110491763B (zh) 2014-12-26 2015-12-25 再生电极

Country Status (7)

Country Link
US (1) US10679828B2 (zh)
EP (1) EP3144963B1 (zh)
JP (5) JP6135965B2 (zh)
KR (1) KR101988437B1 (zh)
CN (2) CN106663625B (zh)
TW (5) TWI659449B (zh)
WO (1) WO2016104754A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI684843B (zh) * 2018-01-30 2020-02-11 日商日立全球先端科技股份有限公司 電漿處理裝置及狀態預測裝置
CN113078045A (zh) * 2021-03-25 2021-07-06 重庆臻宝实业有限公司 一种14nm干刻设备用超大型上部电极的制作方法

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
KR102575017B1 (ko) * 2016-11-17 2023-09-05 삼성디스플레이 주식회사 유리 기판의 결함 검출 방법
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
JP6825518B2 (ja) * 2017-08-24 2021-02-03 三菱マテリアル株式会社 プラズマ処理装置用炭化珪素電極板及びその製造方法
TWI746907B (zh) * 2017-12-05 2021-11-21 日商斯庫林集團股份有限公司 煙霧判定方法、基板處理方法及基板處理裝置
KR102152405B1 (ko) * 2018-04-10 2020-09-04 주식회사 다원시스 플라즈마 모니터링 장치 및 방법
KR102041055B1 (ko) * 2018-09-13 2019-11-05 박준욱 균일한 직경을 가진 샤워헤드 홀의 가공장치
US20210166946A1 (en) * 2019-12-02 2021-06-03 Applied Materials, Inc. Apparatus and techniques for substrate processing using independent ion source and radical source
CN111842097B (zh) * 2020-07-16 2021-06-04 上海交通大学 颗粒状农作物筛选排列装置
JP2022042122A (ja) * 2020-09-02 2022-03-14 東京エレクトロン株式会社 基板処理システム及び状態監視方法
KR102504152B1 (ko) 2021-02-15 2023-02-27 (주)단단 마스킹 구조체 및 이를 이용한 플라즈마 에칭용 전극의 재생 방법
CN115527825A (zh) * 2021-06-25 2022-12-27 中微半导体设备(上海)股份有限公司 一种用于等离子体处理设备的检测装置及等离子体处理设备

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11281307A (ja) * 1998-03-26 1999-10-15 Shin Etsu Chem Co Ltd 電極板、電極板の製造方法およびその小径孔内壁表面粗さの測定方法
CN1638026A (zh) * 2004-01-07 2005-07-13 松下电器产业株式会社 衬底处理器件及其清洗方法
JP2010272645A (ja) * 2009-05-20 2010-12-02 Mitsubishi Materials Corp プラズマエッチング用シリコン電極板の通気孔検査方法

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10265976A (ja) * 1997-03-28 1998-10-06 Hitachi Chem Co Ltd プラズマエッチング電極の製造法
JP3227106B2 (ja) * 1997-04-23 2001-11-12 株式会社ミツトヨ 内径測定方法および内径測定装置
JPH10298787A (ja) 1997-04-25 1998-11-10 Shibaura Eng Works Co Ltd ドライエッチング装置
JP2000306886A (ja) * 1999-04-19 2000-11-02 Hitachi Chem Co Ltd プラズマエッチング電極
KR100545034B1 (ko) 2000-02-21 2006-01-24 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 시료의 처리방법
JP3479034B2 (ja) * 2000-07-26 2003-12-15 宮崎沖電気株式会社 プラズマエッチング装置の処理方法
EP1391507B1 (en) * 2001-05-25 2012-03-21 Hitachi, Ltd. Apparatus for purifying nucleic acid and method of purifying nucleic acid
JP4982931B2 (ja) 2001-08-24 2012-07-25 東京エレクトロン株式会社 半導体処理装置及びこの構成部品の洗浄方法
US7686918B2 (en) * 2002-06-21 2010-03-30 Tokyo Electron Limited Magnetron plasma processing apparatus
JP4502639B2 (ja) * 2003-06-19 2010-07-14 財団法人国際科学振興財団 シャワープレート、プラズマ処理装置、及び、製品の製造方法
JP2006013364A (ja) * 2004-06-29 2006-01-12 Matsushita Electric Ind Co Ltd プラズマ処理装置及びプラズマ処理方法
JP4984446B2 (ja) * 2005-07-11 2012-07-25 大日本印刷株式会社 発光層、正孔注入層の形成方法およびそれらを用いた有機発光デバイスの製造方法
JP4535283B2 (ja) * 2005-12-05 2010-09-01 三菱マテリアル株式会社 比抵抗値の面内バラツキが少ないプラズマエッチング用単結晶シリコン電極板
JP5082246B2 (ja) * 2006-01-20 2012-11-28 東京エレクトロン株式会社 プラズマ発生用の電極、プラズマ処理装置及びプラズマ発生用の電極の製造方法
CN100577866C (zh) * 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
KR101119797B1 (ko) * 2007-06-01 2012-03-22 가부시키가이샤 아드맵 플라즈마 처리 장치용 전극의 제조 방법 및 재생 방법
JP5150217B2 (ja) * 2007-11-08 2013-02-20 東京エレクトロン株式会社 シャワープレート及び基板処理装置
JP5158367B2 (ja) * 2008-12-03 2013-03-06 株式会社島津製作所 プラズマcvd装置のシャワー電極の製作方法
JP5595795B2 (ja) * 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
JP5347740B2 (ja) * 2009-06-12 2013-11-20 三菱マテリアル株式会社 プラズマ処理装置用シリコン電極板の通気孔検査装置および通気孔検査方法
JP5528773B2 (ja) 2009-11-06 2014-06-25 三井造船株式会社 シャワーヘッド、シャワーヘッド製造方法、およびシャワーヘッド再生方法
JP5411098B2 (ja) * 2010-09-17 2014-02-12 東京エレクトロン株式会社 分割可能な電極及びこの電極を用いたプラズマ処理装置ならびに電極交換方法
US9478428B2 (en) 2010-10-05 2016-10-25 Skyworks Solutions, Inc. Apparatus and methods for shielding a plasma etcher electrode
TWI470872B (zh) 2010-11-29 2015-01-21 Univ Chung Hua 微帶線結構
JP5630319B2 (ja) * 2011-02-23 2014-11-26 三菱マテリアル株式会社 プラズマ処理装置用部品及び識別表示の刻印方法
TWI525887B (zh) 2011-11-14 2016-03-11 財團法人金屬工業研究發展中心 導氣電極板
CN102522306A (zh) 2011-12-29 2012-06-27 中微半导体设备(上海)有限公司 喷淋头
TWI497589B (zh) * 2012-12-17 2015-08-21 Global Material Science Co Ltd 乾蝕刻反應室腔體之上電極及其製造方法
JP6281276B2 (ja) * 2013-12-17 2018-02-21 三菱マテリアル株式会社 プラズマ処理装置用電極板の製造方法
CN103745904B (zh) * 2013-12-31 2016-08-17 深圳市华星光电技术有限公司 一种干法刻蚀机及其刻蚀方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11281307A (ja) * 1998-03-26 1999-10-15 Shin Etsu Chem Co Ltd 電極板、電極板の製造方法およびその小径孔内壁表面粗さの測定方法
CN1638026A (zh) * 2004-01-07 2005-07-13 松下电器产业株式会社 衬底处理器件及其清洗方法
JP2010272645A (ja) * 2009-05-20 2010-12-02 Mitsubishi Materials Corp プラズマエッチング用シリコン電極板の通気孔検査方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI684843B (zh) * 2018-01-30 2020-02-11 日商日立全球先端科技股份有限公司 電漿處理裝置及狀態預測裝置
US11107664B2 (en) 2018-01-30 2021-08-31 Hitachi High-Tech Corporation Plasma processing apparatus and prediction apparatus of the condition of plasma processing apparatus
CN113078045A (zh) * 2021-03-25 2021-07-06 重庆臻宝实业有限公司 一种14nm干刻设备用超大型上部电极的制作方法
CN113078045B (zh) * 2021-03-25 2022-06-21 重庆臻宝实业有限公司 一种14nm干刻设备用超大型上部电极的制作方法

Also Published As

Publication number Publication date
JP6135965B2 (ja) 2017-05-31
JPWO2016104754A1 (ja) 2017-04-27
TW201630033A (zh) 2016-08-16
JP2017175138A (ja) 2017-09-28
TWI659450B (zh) 2019-05-11
CN110491763A (zh) 2019-11-22
TWI604495B (zh) 2017-11-01
TW201737298A (zh) 2017-10-16
JP2021122077A (ja) 2021-08-26
JP2022016604A (ja) 2022-01-21
TWI659449B (zh) 2019-05-11
TW201740426A (zh) 2017-11-16
JP2023112103A (ja) 2023-08-10
TW201737299A (zh) 2017-10-16
EP3144963B1 (en) 2020-10-21
KR20170035840A (ko) 2017-03-31
EP3144963A4 (en) 2018-01-24
CN110491763B (zh) 2021-11-23
TW201737297A (zh) 2017-10-16
JP6989191B2 (ja) 2022-01-05
US10679828B2 (en) 2020-06-09
US20170148612A1 (en) 2017-05-25
WO2016104754A1 (ja) 2016-06-30
CN106663625B (zh) 2019-10-25
KR101988437B1 (ko) 2019-06-12
EP3144963A1 (en) 2017-03-22

Similar Documents

Publication Publication Date Title
CN106663625B (zh) 测量方法、电极、再生方法、等离子体蚀刻装置和显示方法
JP5416329B2 (ja) 一体型計測を使用して誘電体エッチング効率を改善する方法及び装置
CN106435595A (zh) 用于对样品去层以对样品进行逆向工程的系统和方法
TW201442054A (zh) 用於具有一帶電粒子束之傾斜或偏斜研磨操作之基準設計
CN112602184A (zh) 确定图案化的高深宽比结构阵列中的倾斜角度
US8492174B2 (en) Etch tool process indicator method and apparatus
US9297773B2 (en) X-ray fluorescence analysis of thin-film coverage defects
CN110211876A (zh) 一种芯片的加工方法
TW202234041A (zh) 使用fib對角線切割的孔傾斜角量測
KR101759745B1 (ko) 에치 툴 공정 인디케이터 방법 및 장치
TW202226038A (zh) 多尺度物理蝕刻模型化及其方法
Schneider et al. Integrated metrology: An enabler for advanced process control (APC)
KR20060002177A (ko) 반도체 제조 설비의 파티클 측정장치 및 그 측정방법
JP2009032715A (ja) 基板処理装置および基板処理方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant