TW202226038A - 多尺度物理蝕刻模型化及其方法 - Google Patents

多尺度物理蝕刻模型化及其方法 Download PDF

Info

Publication number
TW202226038A
TW202226038A TW110131017A TW110131017A TW202226038A TW 202226038 A TW202226038 A TW 202226038A TW 110131017 A TW110131017 A TW 110131017A TW 110131017 A TW110131017 A TW 110131017A TW 202226038 A TW202226038 A TW 202226038A
Authority
TW
Taiwan
Prior art keywords
pattern
plasma
scale
predicting
etch
Prior art date
Application number
TW110131017A
Other languages
English (en)
Other versions
TWI791269B (zh
Inventor
凡駑戈帕蘭 斯安 帕拉雅
莫哈瑪德 瑞莎 卡馬利
麥可 庫必司
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202226038A publication Critical patent/TW202226038A/zh
Application granted granted Critical
Publication of TWI791269B publication Critical patent/TWI791269B/zh

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

本發明揭示用於模擬一電漿蝕刻製程之系統及方法。根據某些實施例,一種用於模擬一電漿蝕刻製程之方法可包括:基於第一複數個參數以一第一尺度預測一電漿之一粒子之一第一特性;基於由第二複數個參數引起的該第一特性之一修改而以一第二尺度預測該粒子之一第二特性;及基於該粒子之該第一特性及該第二特性而模擬一特徵之一蝕刻特性。一多尺度物理蝕刻模型或一多尺度資料驅動模型可用以模擬該電漿蝕刻製程。

Description

多尺度物理蝕刻模型化及其方法
本文中所提供之實施例揭示模型化及模擬半導體製造製程之方法,且更特定言之,揭示用於多尺度物理蝕刻模型化及模擬以減輕度量衡及半導體處理技術中之製程不對稱性之方法。
雖然可使用濕式化學蝕刻技術製造前幾代積體電路,但在不使用電漿製程以獲得必要圖案轉印保真度的情況下,就無法進行現今及未來之複雜晶片設計。電漿設備及電漿處理在諸如3D-FLASH或1-百萬位元動態隨機存取記憶體(DRAM)之三維器件之製造中起至關重要的作用。諸如閘電極或互連通孔之特徵之寬度與薄膜厚度相當,因此,為了以高保真度轉印圖案,蝕刻必須為各向異性的,亦即,垂直於表面比平行於表面快得多。
儘管電漿蝕刻在產生具有高縱橫比之圖案時係合乎需要的,但其可造成橫越晶片中之多個層之對準特徵的蝕刻誘發之不對稱性,從而導致蝕刻疊對及對準誤差。隨著微電子器件不斷縮小且製程要求變得更加嚴格,電漿模型化及模擬作為用於遮罩設計、晶粒設計及蝕刻配方之設計、控制及最佳化的工具變得愈來愈具有吸引力。包括物理模型化及資料驅動模型化之現有模型化技術雖然較佳於實驗技術,但係資源密集、耗時、不可擴展的,且並不考量多個長度尺度之間的串擾。此等限制致使現有模型化技術不足且低效。
本發明之一態樣係針對一種用於模擬一電漿蝕刻製程之方法,該方法包含:基於第一複數個參數以一第一尺度預測一電漿之一粒子之一第一特性;基於由第二複數個參數引起的該第一特性之一修改而以一第二尺度預測該粒子之一第二特性;及基於該粒子之該第一特性及該第二特性而模擬一特徵之一蝕刻特性。
該方法可進一步包含基於該第一複數個參數以該第一尺度預測該電漿之一外鞘剖面,其中該第一尺度包含一晶圓尺度。預測該第一特性包含判定該經預測外鞘剖面之一梯度,且其中該第一特性包含經導向一晶圓之該粒子之一入射角、一軌跡或一能量。該第一複數個參數可包含經組態以執行該電漿蝕刻製程之一電漿反應器之幾何形狀、用於該電漿蝕刻製程之一製程條件或該晶圓上之一位置。預測該第二特性可包含以該第二尺度預測該粒子之該入射角、該軌跡或該能量的一修改,且其中該第二尺度包含一晶粒尺度。預測該第二特性可進一步包含:存取一晶粒之一佈局,該佈局包含一圖案密度圖;及基於該圖案密度圖預測該粒子之該第二特性,其中該粒子可包含一帶電粒子或一不帶電粒子。預測該電漿之該帶電粒子之該第二特性可進一步包含:基於該圖案密度圖,識別該晶粒之具有一第一圖案密度的一第一區及該晶粒之具有不同於該第一圖案密度之一第二圖案密度的一第二區;及預測經識別之該第一區與該第二區之間的一電位梯度;及基於該電位梯度預測該帶電粒子之該第二特性。在一些實施例中,預測該電漿之該不帶電粒子之該第二特性可包含:預測經識別之該第一區與該第二區之間的一蝕刻劑之一濃度梯度;基於該濃度梯度預測該蝕刻劑之一擴散通量;及基於該擴散通量預測該不帶電粒子之該第二特性。該方法可進一步包含基於該晶粒之一圖案密度梯度及一高斯核心以該第二尺度預測該粒子之該經修改軌跡,其中該高斯核心為包含範圍介於5 nm至50 μm之一長度尺度的一多長度尺度核心。該第二複數個參數可包含該晶粒之該佈局、該圖案密度或一圖案密度變化。模擬該蝕刻特性可包含基於該晶粒之該圖案密度圖模擬該特徵之一蝕刻速率、一蝕刻剖面或一蝕刻不對稱性。在一些實施例中,圖案密度經特性化或表示為圖案周邊密度。
本發明之另一態樣係針對一種用於產生一特徵之一經模擬影像之方法。該方法可包含:獲取該特徵之一第一影像;基於來自該影像之一圖案或圖案-周邊資訊識別該特徵;預測待使用一電漿蝕刻製程來蝕刻之該特徵之一蝕刻剖面。預測該特徵之該蝕刻剖面可包含:基於第一複數個參數以一第一尺度預測一電漿之一粒子之一第一特性;及基於由第二複數個參數引起的該第一特性之一修改而以一第二尺度預測該粒子之一第二特性。該方法可進一步包含產生包含該特徵之該經預測蝕刻剖面的一第二影像。
本發明之另一態樣係針對一種電漿蝕刻模擬系統,其包含:一記憶體,其儲存一指令集;及一處理器,其經組態以執行該指令集以致使該電漿蝕刻模擬系統:基於第一複數個參數以一第一尺度預測一電漿之一粒子之一第一特性;基於由第二複數個參數引起的該第一特性之一修改而以一第二尺度預測該粒子之一第二特性;及基於該粒子之該第一特性及該第二特性而模擬一特徵之一蝕刻特性。
該處理器可經組態以執行該指令集以進一步致使該電漿蝕刻模擬系統:基於該第一複數個參數以該第一尺度預測該電漿之一外鞘剖面;判定該經預測外鞘剖面之一梯度;及基於該經預測外鞘剖面之該梯度判定經導向一晶圓之該粒子之一入射角、一軌跡或一能量。在一些實施例中,該處理器可經組態以執行該指令集以進一步致使該電漿蝕刻模擬系統:存取一晶粒之一佈局,該佈局包含一圖案密度圖,例如一圖案-周邊密度圖;及基於該圖案密度圖預測該粒子之該第二特性,其中該粒子可包含一帶電粒子或一不帶電粒子。在一些實施例中,該處理器可經組態以執行該指令集以進一步致使該電漿蝕刻模擬系統:基於該圖案密度圖,識別該晶粒之具有一第一圖案密度的一第一區及該晶粒之具有不同於該第一圖案密度之一第二圖案密度的一第二區;基於經識別之該第一區及該第二區預測一電位梯度;及基於該電位梯度預測該帶電粒子之該第二特性。在一些實施例中,該處理器可經組態以執行該指令集以進一步致使該電漿蝕刻模擬系統:預測經識別之該第一區與該第二區之間的一蝕刻劑之一濃度梯度;基於該濃度梯度預測該蝕刻劑之一擴散通量;及基於該擴散通量預測該不帶電粒子之該第二特性。
本發明之另一態樣係針對一種非暫時性電腦可讀媒體,其儲存一指令集,該指令集可由一裝置之一或多個處理器執行以致使該裝置執行模擬一電漿蝕刻製程之一方法。該方法可包含:基於第一複數個參數以一第一尺度預測一電漿之一粒子之一第一特性;基於由第二複數個參數引起的該第一特性之一修改而以一第二尺度預測該粒子之一第二特性;及基於該粒子之該第一特性及該第二特性而模擬一特徵之一蝕刻特性。
本發明之另一態樣係針對一種非暫時性電腦可讀媒體,其儲存一指令集,該指令集可由一裝置之一或多個處理器執行以致使該裝置執行模擬一電漿蝕刻製程之一方法。該方法可包含:獲取特徵之一第一影像;基於來自該影像之一圖案-周邊資訊識別該特徵;及預測待使用一電漿蝕刻製程來蝕刻之該特徵之一蝕刻剖面。預測該特徵之該蝕刻剖面可包含:基於第一複數個參數以一第一尺度預測一電漿之一粒子之一第一特性;及基於由第二複數個參數引起的該第一特性之一修改而以一第二尺度預測該粒子之一第二特性。該方法可進一步包含產生包含該特徵之該經預測蝕刻剖面的一第二影像。
本發明之另一態樣係針對一種模擬一電漿蝕刻製程之方法。方法可包括:以一第一尺度預測經組態以執行該電漿蝕刻製程之複數個腔室中之一腔室的一第一特性;以一第二尺度預測該複數個腔室中之該腔室的一第二特性,其中該第一尺度包含該第二尺度;及基於該腔室之該第一特性及該第二特性而模擬一特徵之一蝕刻特性。
本發明之另一態樣係針對一種電漿蝕刻模擬系統。該系統可包括:一記憶體,其儲存一指令集;及一處理器,其經組態以執行該指令集以致使該電漿蝕刻模擬系統:以一第一尺度預測經組態以執行該電漿蝕刻製程之複數個腔室中之一腔室的一第一特性;以一第二尺度預測該複數個腔室中之該腔室的一第二特性,其中該第一尺度包含該第二尺度;及基於該腔室之該第一特性及該第二特性而模擬一特徵之一蝕刻特性。
本發明之實施例之其他優點將自結合隨附圖式進行之以下描述變得顯而易見,在該等圖式中藉助於說明及實例闡述了本發明之某些實施例。
現在將詳細參考例示性實施例,在隨附圖式中說明該等例示性實施例之實例。以下描述參考隨附圖式,其中除非另外表示,否則不同圖式中之相同編號表示相同或相似元件。例示性實施例之以下描述中所闡述之實施並不表示所有實施。取而代之,其僅僅為符合關於所附申請專利範圍中所敍述之所揭示實施例的態樣的裝置及方法之實例。舉例而言,儘管一些實施例係在利用電子束之內容背景中予以描述,但本發明不限於此。可以相似方式應用其他類型之帶電粒子束。此外,可使用其他成像系統,諸如光學成像、光偵測、x射線偵測等。
電子器件係由形成於被稱為基板之矽塊上的電路構成。許多電路可一起形成於同一矽塊上且被稱為積體電路或IC。此等電路之大小已顯著地減小,使得電路中之許多電路可安裝於基板上。舉例而言,智慧型手機中之IC晶片可與拇指甲一樣小且仍可包括超過20億個電晶體,每一電晶體之大小不到人類毛髮之大小的1/1000。
製造此等極小IC為常常涉及數百個個別步驟之複雜、耗時且昂貴之製程。甚至一個步驟中之錯誤亦有可能導致成品IC中之缺陷,該等缺陷使得成品IC為無用的。因此,製造製程之一個目標為避免此類缺陷以使在製程中製造之功能性IC的數目最大化,亦即改良製程之總體良率。
低壓、冷、弱離子化輝光放電電漿廣泛地用於半導體材料之處理中。電漿可用於蝕刻及沈積半導體及介電材料之薄膜。電漿蝕刻製程之目標為達成高蝕刻速率、均勻性、選擇性、各向異性及無輻射損傷。可需要高蝕刻速率以增加製程產出量,然而,蝕刻速率必須與均勻性、選擇性以及各向異性保持平衡。存在可影響電漿特性且繼而影響製程輸出的多個外部受控變數(製程輸入)。對於給定蝕刻腔室組態,諸如電漿功率、壓力、頻率等之蝕刻條件可經調整以影響蝕刻速率、蝕刻均勻性、蝕刻選擇性或其類似者。儘管電漿製程開發已在很大程度上基於實驗工序,但隨著器件不斷變得愈來愈複雜,基於模型化及模擬之電漿製程的電腦輔助設計已變得更加有吸引力。
現有物理蝕刻模型可能夠預測電漿特性及蝕刻剖面,但限於器件特徵尺度且缺乏可擴展性。歸因於運算約束,將器件特徵尺度模擬外插至晶圓尺度模擬可極其低效且不準確。包括迴旋編碼器-解碼器網路、神經網路、深度學習演算法等之現有資料驅動模型可能需要針對每一製程、晶圓上之多個位置以及倍縮光罩設計之密集訓練。此外,現有物理蝕刻模型及資料驅動模型兩者皆不考量範圍介於特徵尺度至晶圓尺度、橫跨器件尺寸之6至8個數量級的長度尺度之間的串擾。
本發明之一些實施例係關於使用多尺度電漿蝕刻模型來模擬電漿蝕刻製程之方法。該方法包括使用晶圓尺度模型,基於電漿蝕刻腔室幾何形狀或晶圓上之位置處的電漿蝕刻製程條件而以晶圓尺度預測蝕刻劑離子物種的特性。該方法可進一步包括使用晶粒尺度模型,基於與晶粒之圖案密度迴旋的高斯核心之多尺度梯度而以晶粒尺度預測蝕刻劑離子物種之特性的修改。在一些實施例中,圖案密度由晶粒之圖案-周邊密度表示或表徵。自晶圓尺度模型及晶粒尺度模型獲得之資訊用作至物理或資料驅動之特徵尺度蝕刻模型的輸入,以模擬晶粒上之特徵之蝕刻剖面。該方法提供多尺度物理或資料驅動之蝕刻模型以模擬蝕刻剖面,其適用於減輕蝕刻誘發之不對稱性及蝕刻誘發之疊對誤差。
出於清楚起見,圖式中之組件的相對尺寸可被誇示。在以下圖式描述內,相同或類似參考數字係指相同或類似組件或實體,且僅描述關於個別實施例之差異。如本文中所使用,除非另外特定陳述,否則術語「或」涵蓋所有可能組合,除非不可行。舉例而言,若陳述組件可包括A或B,則除非另外特定陳述或不可行,否則組件可包括A,或B,或A及B。作為第二實例,若陳述組件可包括A、B或C,則除非另外特定陳述或不可行,否則組件可包括A,或B,或C,或A及B,或A及C,或B及C,或A及B及C。
現在參看 1,其說明符合本發明之實施例的例示性電漿製程模擬系統100。如 1中所展示,電漿製程模擬系統100可包含電漿製程模擬系統,其包括與處理器180直接或間接通信之裝置105,及經組態以控制裝置105之控制器150。裝置105可無線地、遠端地或經由有線連接以及以其他通信方法與處理器180通信。裝置105可包括電漿製程腔室110、用以將氣體或氣體混合物供應至電漿製程腔室110並調節該等氣體或該氣體混合物之氣體供應系統120、真空系統140及電力供應器160。雖然本說明書及圖式係針對離子化氣體,但應瞭解,實施例並不用以將本發明限制至特定帶電粒子。
在半導體製造及處理領域中,通常在電漿腔室或電漿反應器(諸如電漿製程腔室110)中進行電漿輔助材料製程。基於電漿及腔室組態之激發方法,電漿反應器可用以執行製程,包括但不限於晶圓(例如,半導體晶圓或由其他材料製成之晶圓)或樣本(晶圓及樣本在下文被集體地稱作「晶圓(wafers/wafer)」)中之蝕刻、沈積、表面處理或缺陷偵測。
電容耦合電漿(CCP)反應器及高密度電漿反應器(諸如感應耦合電漿(ICP)反應器及電子迴旋加速器共振(ECR)電漿反應器)已廣泛地用於半導體工業中以用於電漿增強式化學氣相沈積(PECVD)及反應性離子蝕刻(RIE)或電漿輔助高縱橫比蝕刻。習知電漿反應器,諸如CCP反應器,通常由腔室中之兩個平行板電極組成。歸因於兩個電極上之射頻(RF)電壓,腔室中之放電氣體的反應性性質得以保持,且電極上之高電壓造成晶圓之表面上之離子轟擊。腔室中之典型壓力在10 3托至10托之範圍內。ICP反應器通常由位於電漿腔室外部之兩組RF線圈組成。藉由感應磁場將RF功率提供至腔室。一般而言,藉由調整至晶圓之RF偏壓電壓,吾人可獨立控制離子轟擊能量。
氣體供應系統120可經組態以將電漿製程中所使用之氣體供應供應至電漿製程腔室110並調節該氣體供應。氣體供應系統120可包括氣流控制器、氣流監視器、氣體混合器、氣體歧管、氣體管線以及其他組件,以幫助控制供應至電漿製程腔室110之氣體的流動速率、濃度、比例。氣體供應系統120及電漿製程腔室110可由控制器150控制,控制器150控制並調節各種氣體及運載氣體至電漿製程腔室110之引入。運載氣體可包括可用以「運載」或遞送所要活性氣體之惰性氣體或惰性氣體之混合物。運載氣體可能不與活性氣體反應或與電漿製程之副產物反應。
電漿製程腔室110可連接至真空系統140,該真空系統移除電漿製程腔室110中之氣體分子以達至低於大氣壓力之第一壓力。真空系統140可包括多於一個真空泵,諸如但不限於機械泵、擴散泵、渦輪分子泵、離子泵或其組合,以獲得所要第一壓力。在達至第一壓力之後,所要氣體可被引入至電漿製程腔室110中。所要氣體之引入可使電漿製程腔室110中之壓力升高至所要第二壓力,通常在1毫托至10托之範圍內。在達至第二壓力之後,晶圓可基於氣體之化學性質、流動速率、組態、功率分佈以及其他因素而曝露於電漿製程腔室110中所產生之電漿。可由控制器150控制真空系統140以例如藉由調整閥位置、閥定時以及其他來調整腔室壓力。
電漿製程腔室110可連接至電力供應器160,該電力供應器經組態以將電力供應至一或多個電極、線圈或其類似者並調節該電力。在一實例中,電力供應器160可經組態以將RF電壓施加至電極,同時將另一電極維持處於參考電壓,以在兩個電極之間產生交流電場。可利用交流電場來激發氣體分子,藉此在電漿製程腔室110中產生電漿。應瞭解,電力供應器160可用以在適當時將電力供應至裝置105之一或多個組件。
控制器150可以電子方式連接至裝置105且亦可以電子方式連接至其他組件,包括但不限於處理器180。控制器150可為經組態以使用處理電路系統執行裝置105之各種控制以執行各種信號及資料處理功能的電腦。雖然控制器150在 1中被展示為在包括電漿製程腔室110之結構外部,但應瞭解,控制器150可為裝置105之一部分。
處理器180可為經組態以與控制器150或裝置105通信之電腦。如所展示,處理器180可經由控制器150與裝置105通信。在控制器150為裝置105之一部分的實例中,處理器180可與裝置105直接通信。處理器180可包括記憶體以儲存指令集,該等指令在執行時可允許電漿製程腔室110執行所要功能。在一些實施例中,處理器180可經組態以經由使用者介面自使用者接收指令、基於使用者輸入執行製程之模擬及數學模型化、預測製程結果,且產生描繪經預測製程結果之影像。
現在參看 2,其說明符合本發明之實施例的經組態以用於執行電漿製程之例示性裝置200。裝置200可包括電漿製程腔室210、氣體供應系統220、載物台定位系統230、真空系統240、控制器250、包含功率產生器260a及260b之電力供應系統及壓力感測器270。應瞭解,視需要可添加或省略其他相關組件。
雖然本發明提供經組態以用作電漿蝕刻系統之電漿製程腔室210之實例,但應注意,本發明之態樣在其最廣泛意義上不限於電漿蝕刻腔室或蝕刻系統。確切而言,應瞭解,前述原理亦可應用於其他腔室。舉例而言,電漿製程腔室210可經組態以用作沈積腔室以生長半導體或介電質之薄膜,或用作表面處理腔室以剝離殘餘光阻。
在一些實施例中,電漿製程腔室210可經組態以用作電漿蝕刻腔室或電漿蝕刻反應器,且因此,亦可在下文中被稱作電漿蝕刻腔室210。在例示性電漿蝕刻製程中,諸如晶圓203之晶圓可置放於電漿蝕刻腔室210中,使得晶圓203可曝露於藉由引入蝕刻劑氣體或蝕刻劑氣體混合物而產生之電漿。電漿蝕刻腔室210可包括氣體供應系統220,該氣體供應系統可將一或多種氣態蝕刻劑遞送至電漿蝕刻腔室210。氣體供應系統220可經組態以經由氣體控制器228及饋入線229將各種所要氣態蝕刻劑供應至電漿蝕刻腔室210。在一些實施例中,氣體供應系統220亦可經組態以藉由控制運載氣體通過氣體供應系統220之流動及壓力來控制蝕刻劑氣體或蝕刻劑氣體之混合物至電漿蝕刻腔室210中的流動速率。在一些實施例中,由電漿蝕刻腔室210執行之蝕刻製程可為RIE或深度反應離子蝕刻(DRIE)製程。
在一些實施例中,氣體供應系統220可包括氣體源222、224及226。在例示性實施例中,氣體源222及224可包含蝕刻劑氣體,且氣體源226可包含運載氣體。儘管 2中僅說明三個氣體源222、224及226,但此僅僅為了清楚起見而進行,且應瞭解,可包括任何合適數目個蝕刻劑氣體源。舉例而言,在可利用五個單獨蝕刻劑的一實施例中,可存在五個蝕刻劑氣體源,或四個蝕刻劑氣體源及一個運載氣體源,或三個蝕刻劑氣體源及兩個運載氣體源,或視需要其他組態係或許有可能的。
氣體源222、224及226中之每一者可為容器,諸如儲氣槽或氣缸或氣體杜瓦瓶,在電漿蝕刻腔室210本端地或遠端地置放。在一些實施例中,氣體供應系統220可為獨立製備及遞送所要蝕刻劑的設施之部分。用於所要蝕刻劑之任何合適之源可用作氣體源,且所有此類源全部意欲包括於實施例之範疇內。運載氣體或稀釋氣體可用以幫助將各種所要蝕刻劑推動或「運載」至電漿蝕刻腔室210。運載氣體可包括但不限於氮氣(N 2)、氦氣(He)、氬氣(Ar)、氙氣(Xe)或其一組合,或亦可利用其他合適之運載氣體。
2中所說明,氣體供應系統220可包括氣流閥223、225及227,該等氣流閥經組態以分別調節自氣體源222、224及226至氣體控制器228之蝕刻劑氣體的流動速率、流量或流動方向。在一些實施例中,氣體控制器228可經組態以組合各種蝕刻劑及運載氣體以製備具有預定義比例之氣體的氣體混合物,且一旦經組合,氣體混合物便可經由饋入線229導向電漿蝕刻腔室210。儘管每一氣體源222、224及226被展示為連接至氣體控制器228,但氣體源可分離地且直接地連接至電漿蝕刻腔室210。控制器250可經組態以控制氣體供應系統220之一或多個功能。舉例而言,控制器250可在適當時控制一或多個氣流閥223、225及227之操作,或控制氣體控制器228之操作,或與氣體供應系統220相關之其他功能。
2中所展示,例示性電漿蝕刻腔室210可包括上部電極201、氣體簇射頭202、安裝於載物台204上之晶圓203、使用聚焦環位置控制器206沿著X軸、Y軸或Z軸中之一或多者可調整的聚焦環205以及下部電極207。自氣體供應系統220引入之氣體可經「激發」以形成包含離子、自由基、中性物種及帶電粒子之電漿208。在本發明之內容背景中,「激發」氣體混合物係指使氣體經受適當電磁場以自氣體原子提取電子,藉此使氣體離子化且形成電漿。
電漿蝕刻腔室210可包括上部電極201及下部電極207。在諸如 2之裝置200之電容耦合電漿反應器中,上部電極201可為供電電極,且下部電極207可為通地電極或接地電極。在一些實施例中,上部電極201可經接地且下部電極207可經供電。在一些實施例中,上部電極201及下部電極207兩者可經供電。電漿中之離子可朝向供電電極而加速,且電漿與供電電極之間的電位差通常被稱作偏壓電壓。可基於應用或所要蝕刻模式而修改組態。舉例而言,在RIE模式中,晶圓203可置放於供電電極上且可經受偏壓電壓。反應性離子以及來自電漿之其他反應性物種可造成蝕刻晶圓203上之特徵。在電漿蝕刻模式中,晶圓203可置放於接地電極上且電漿之反應性中性物種可引起蝕刻。
上部電極201及下部電極207可包含導電電極,該等導電電極可相對於彼此電偏壓以產生足夠強以使電極之間的氣體離子化成電漿之電場。在一些實施例中,上部電極201或下部電極207可經組態以接收電荷。可使用功率產生器260a及260b將通常呈高頻率(13.56 MHz之射頻)RF功率形式之電功率施加至上部電極201、下部電極207或此兩者。供電上部電極201可促進晶圓203與氣體簇射頭202之間的電漿激發區中的電漿208之均勻分佈。在一些實施例中,功率產生器260a及260b中之一者或兩者可分別電氣耦合至上部電極201及下部電極207,以取決於所執行之製程而遞送可調整功率量。舉例而言,在蝕刻製程中,遞送至電極之功率可經調整以調整晶圓203上之層或特徵的蝕刻選擇性或蝕刻均勻性。
在一些實施例中,氣體簇射頭202可經組態以自氣體供應系統220接收各種蝕刻劑且將各種蝕刻劑分散至電漿蝕刻腔室210中。氣體簇射頭202可經設計為均勻地分散蝕刻劑以便最大化製程條件之均勻性,製程條件包括但不限於電漿覆蓋範圍、電漿密度、電漿強度、電漿形狀或其類似者。氣體簇射頭202可包含以矩形、三角形、圓形、非圓形或螺旋形圖案或其組合不均勻地或均勻地配置之開口。應瞭解,可利用分散所要蝕刻劑(諸如入口通口、噴霧嘴或其類似者)之任何合適方法將所要蝕刻劑引入電漿蝕刻腔室210中。
電漿蝕刻腔室210可包括經組態以在蝕刻製程期間緊固晶圓203之載物台204。在一些實施例中,晶圓203可安裝至載物台204之安裝表面(圖中未繪示)上。可使用靜電力、機械夾具、真空壓力或其組合將晶圓203緊固在載物台204上,且晶圓203亦可包括經組態以在製程期間控制晶圓203之溫度的加熱及冷卻機構。
在一些實施例中,電漿蝕刻腔室210可包括安裝於聚焦環固持器(圖中未繪示)或載物台204上之聚焦環205。聚焦環205可包圍晶圓203且可具有大體上環形形狀。聚焦環205可具有矩形橫截面,或可具有不規則橫截面或不同形狀之橫截面。在一些實施例中,聚焦環205可由導電材料、半導體材料、介電材料或另一合適材料製成。在一些實施例中,聚焦環205可由摻雜或未摻雜矽製成。聚焦環固持器可連接至經組態以沿著Z軸豎直地移動聚焦環205之聚焦環位置控制器206。在一些實施例中,聚焦環位置控制器206可用以在蝕刻製程期間控制聚焦環205之豎直位置,或在一些實施例中,可將DC電壓施加至聚焦環205。聚焦環205之豎直位置或施加至聚焦環205之DC電壓兩者可影響蝕刻製程之特性,諸如包括(但不限於)蝕刻傾角、蝕刻均勻性、蝕刻速率或其類似者。應瞭解,聚焦環位置控制器206或聚焦環DC電壓可在蝕刻製程之前、期間或之後經組態。
在一些實施例中,裝置200可包括載物台定位系統230,該載物台定位系統經組態以調整載物台204之位置,藉此調整緊固在載物台204上之晶圓203沿著X軸、Y軸或Z軸中之一或多者的位置。載物台定位系統230可包括但不限於壓電致動器、位置感測器、微定位器或其類似者,以精確地調整晶圓203相對於電漿208之位置,此可影響晶圓203上之特徵之蝕刻特性。舉例而言,調整載物台204之高度使得晶圓203與上部電極201之間的豎直距離減小、可影響蝕刻速率、蝕刻剖面或蝕刻各向異性或其他蝕刻特性。載物台定位系統230可與控制器250通信以允許控制器250調整載物台204在X軸、Y軸或Z軸中之位置。
裝置200可進一步包括真空系統240,該真空系統經組態以將電漿蝕刻腔室210「抽空」至預定義壓力。在一些實施例中,真空系統240可經組態以在自氣體供應系統220引入蝕刻劑氣體之前自電漿蝕刻腔室210抽出空氣、濕氣、殘餘氣體或其類似者。在一些實施例中,真空系統240可經進一步組態以運用來自氣體供應系統220之環境氣體或運載氣體「再填充」電漿蝕刻腔室210以使電漿蝕刻腔室210達至大氣壓力。真空系統240可包含一或多個真空泵、壓力計、閥以及其他組件,且可使用控制器250來控制。
裝置200可進一步包括壓力感測器270,該壓力感測器經組態以在蝕刻製程期間量測電漿蝕刻腔室210中之氣體壓力。蝕刻劑氣體可引入於電漿蝕刻腔室210中且藉由使引入之氣體經受上部電極201與下部電極207之間的高電位差而點燃電漿之前穩定。一旦穩定,就可在蝕刻製程期間維持或調整氣體壓力以調整蝕刻特性,包括但不限於蝕刻速率、蝕刻選擇性、蝕刻各向異性、蝕刻不對稱性或其類似者。壓力感測器270可包含壓力計,諸如佈爾登管式壓力計、電容壓力計、皮冉尼壓力計或其類似者。壓力感測器270可使用控制器250來控制且可與氣體供應系統220直接通信或經由控制器250間接通信。
裝置200可進一步包括控制器250,該控制器經組態以在適當時控制氣體供應系統220、載物台定位系統230、真空系統240、功率產生器260a及260b、壓力感測器270或其他組件。控制器250可類似於 1之控制器150且可執行與該控制器150實質上相似的功能。
隨著微電子器件不斷縮小且製程要求變得更加嚴格,電漿製程之模型化及模擬可提供對製程結果之更多洞察及準確可預測性、更緊密製程控制、最佳化工具設計以及其他優點。在用於半導體器件製造之電漿蝕刻製程中,可尤其需要高蝕刻速率、均勻性、選擇性、經蝕刻之微觀特徵之受控形狀(各向異性)或最小輻射損傷。可需要高蝕刻速率以增加製程產出量。均勻性係指達成橫越晶圓之相同蝕刻特性(速率、剖面等)。可需要均勻性,例如以最小化可導致電損壞之晶圓之不均勻充電。選擇性係指一種材料相對於另一種材料之相對蝕刻速率。可需要選擇性例如以在不蝕刻遮罩(硬式遮罩或軟式遮罩)之材料的情況下蝕刻底層。可需要各向異性蝕刻以製造具有高縱橫比(>1)的特徵。
在模型化電漿製程之行為時所遇到的若干問題中之一者為電漿製程之長度及時間尺度之不等性。舉例而言,長度尺度範圍介於原子至微觀(特徵寬度)至宏觀(反應器、晶圓),且時間尺度範圍介於皮秒至奈秒(電子之回應時間)、至微秒(離子之回應時間)、至用於重物種化學及氣體停留時間的幾毫秒。此等不等長度尺度之間的串擾可貢獻於製程不對稱性及疊對誤差以及其他。儘管電漿系統之現有模型化及模擬可提供對以給定長度尺度在電漿中出現的生理化學製程的理解,然而,並未考量微觀長度尺度與宏觀長度尺度之間的串擾,從而致使模型化及模擬方法不準確且不適於其所要目的。作為一實例,模擬方法需要考量由於圖案密度變化(且更特定言之,晶粒中之圖案-周邊密度變化)所引起的物種自電漿至晶圓上之軌跡偏差。因此,可需要多尺度蝕刻模型以減輕蝕刻誘發之不對稱性且獨立評估製程參數(晶圓尺度)及圖案-周邊密度變化(晶粒尺度)對蝕刻特性之影響。
現在參看 3,其說明符合本發明之實施例的多尺度電漿蝕刻模型300之流程圖。多尺度蝕刻模型300可包含晶圓尺度模型310、晶粒尺度模型320及特徵尺度模型330,以基於多尺度蝕刻模型途徑產生經模擬蝕刻剖面。
晶圓尺度模型310 (亦被稱作大尺度模型或電漿鞘模型)可包含資料輸入模組302、資料處理模組305及後製程模組308。晶圓尺度模型310可基於複數個參數來預測電漿特性,該複數個參數包括但不限於腔室幾何形狀、製程條件(操作參數)及晶圓上之位置。在電漿處理中,反應器設計及製程參數之選擇尤其可影響電漿特性,且因而影響製程輸出。電漿特性可包括但不限於電子、離子及中性物種密度及速度的空間及時間變化、離子通量、離子能量、離子角度分佈、離子軌跡、離子傾角、入射角、中性通量、自由基通量以及其他特性。
資料輸入模組302可經組態以提供與腔室幾何形狀、製程條件(操作參數)及晶圓上之位置相關聯的資訊。與腔室幾何形狀相關聯之資訊可包括電漿蝕刻腔室類型、腔室之幾何尺寸、建構材料、聚焦環尺寸及聚焦環材料、聚焦環之位置、施加至聚焦環之電壓、聚焦環之操作條件或其類似者。與製程條件相關聯之資訊可包括但不限於:物理蝕刻條件,諸如氣體壓力、電漿功率、激發頻率、基板電壓、氣體組成及流動速率。在一些實施例中,資料輸入模組302可經組態以將資訊提供至資料處理模組305。
在一些實施例中,晶圓尺度模型310可包含經組態以自資料輸入模組302接收資訊之資料處理模組305。資料處理模組305可經進一步組態以處理所接收資訊。處理所接收資訊可包括執行電漿鞘動力學之數值分析。資料處理模組305可基於腔室幾何形狀及製程條件來預測電漿電位剖面(
Figure 02_image001
)。
資料處理模組305可經組態以在晶圓尺度下在數值上對一或多個控管方程式進行求解,包括離子之運動方程式、離子通量之守恆方程式及用於離子之泊松方程式(Poisson's equation),以獲得電漿電位剖面(φ)、離子能量、離子角度分佈、離子通量以及其他。方程式1至3展示電漿鞘區中之控管偏微分方程式(PDE):
Figure 02_image003
(方程式1),
Figure 02_image005
(方程式2),及
Figure 02_image007
(方程式3), 其中,方程式1表示質量守恆方程式,方程式2表示動量守恆方程式,且方程式3表示用於離子之泊松方程式。
在假定針對離子通量之穩態的情況下,方程式1及2可重寫為以下方程式4及5:
Figure 02_image009
(方程式4),及
Figure 02_image011
(方程式5)
在一些實施例中,資料處理模組305可經組態以依據腔室幾何形狀、諸如電漿壓力、電漿功率、偏壓電壓、聚焦環高度等之不同物理蝕刻條件以及晶圓203上之位置( r)來判定離子速度
Figure 02_image013
、電漿電位
Figure 02_image015
及電漿鞘剖面。
後製程模組308可執行對自資料處理模組305獲得之資訊的進一步分析。在一些實施例中,後製程模組308可模型化電漿特性,包括在晶圓(例如 2之晶圓203)上之給定位置( r)處的離子傾角、蝕刻速率、入射角。在一些實施例中,後製程模組308可藉由使用以下方程式6追蹤給定電位場中之粒子(諸如離子)之軌跡而獲得與離子傾角或離子能量相關聯的資訊。與在晶圓尺度下之給定位置 r處之離子傾角及離子能量相關聯的資訊可用作至晶粒尺度模型320之輸入。
Figure 02_image017
(方程式6) 其中
Figure 02_image019
Figure 02_image021
為電漿之離子的電荷及質量。
使用晶圓尺度模型310預測離子傾角可能不足以模擬晶粒中之特徵的最終蝕刻剖面,此係由於歸因於晶圓尺度與晶粒尺度之間的長度尺度之不等性而誘發之串擾的機率。舉例而言,12吋晶圓之直徑為約150 mm,且晶粒之平均尺寸為約5 mm至10 mm。另外,離子在其接近晶圓(例如, 2之晶圓203)之表面時的軌跡可基於包括但不限於以下各者之因素而變化:圖案-周邊密度及圖案-周邊密度之梯度、表面能以及其他。舉例而言,電漿鞘區中之離子軌跡可能不同於接近晶圓表面的離子軌跡(稍後參看 6所論述)。在本發明之內容背景中,「接近於」晶圓表面可指距晶圓表面2 mm或更少、1 mm或更少、500 μm或更少、200 μm或更少或100 μm或更少的距離。因此,可需要晶粒尺度模型以基於與晶圓之晶粒相關聯的參數(諸如晶粒之圖案-周邊密度)來模型化離子軌跡或接近於晶圓之離子軌跡的偏差。
晶粒尺度模型320 (亦被稱作短尺度模型)可包含資料輸入模組312以及子模型315及318。在一些實施例中,資料輸入模組312可經組態以自晶圓尺度接收離子傾角或離子能量資訊以及與晶粒相關聯之資訊,包括但不限於晶粒之圖案-周邊密度、圖案-周邊佈局或圖案-周邊密度變化。在一些實施例中,來自資料輸入模組312之資訊可由子模型315及318中之一者或兩者利用以預測藉由晶粒尺度圖案-周邊密度或圖案-周邊密度變化修改的離子軌跡、離子傾角、離子物種之通量、中性通量、自由基通量之修改。
晶粒尺度模型320之子模型315可包含微型負載模型,該微型負載模型經組態以基於晶粒中之圖案-周邊密度或圖案-周邊密度變化而模型化負載效應及負載效應對在晶粒尺度下之局部蝕刻劑可用性的影響。負載效應可包括微型負載效應及巨型負載效應。微型負載係晶粒尺度現象,且係指位於高圖案-周邊密度(密集)區域中的給定特徵與同一晶粒上之低圖案-周邊密度(隔離)區域中的相同特徵相比之蝕刻特性之差。通常,微型負載係歸因於密集區中之蝕刻劑物種之局部耗盡而引起,藉此引起反應性離子沿著晶粒內之濃度梯度擴散。巨型負載係晶圓尺度現象且係指歸因於在具有要蝕刻之更多曝露區域的情況下蝕刻劑物種之總體耗盡所引起的蝕刻速率之總體降低。舉例而言,巨型負載效應可造成具有相同特徵但具有不同可蝕刻區域之兩個晶圓之蝕刻速率之差。半導體晶圓之電漿蝕刻中之負載效應為多長度尺度效應,包括以5奈米(nm)至100 nm之長度尺度發生的微型負載效應,及以100 nm至幾微米之長度尺度發生的巨型負載效應。
在一些實施例中,預測諸如中性物或自由基之不帶電粒子之特性可包括預測隔離區與密集區之間的蝕刻劑之濃度梯度。方法可進一步包括基於濃度梯度預測蝕刻劑之擴散通量。在一些實施例中,受微型負載效應影響之不帶電粒子之特性可基於經預測之擴散通量來預測。
在一些實施例中,預測濃度梯度可包括預測密集區(較高圖案-周邊密度)中之蝕刻劑的第一濃度及預測隔離區(較低圖案-周邊密度)中之蝕刻劑的第二濃度。在一些實施例中,可比較經預測之第一濃度與第二濃度以判定橫越晶粒之區之濃度梯度。可自較高濃度區至較低濃度區發生分子之通量或擴散。
晶粒尺度模型320之子模型318可包含亦被稱作表面充電模型之晶粒尺度充電模型,其經組態以模型化由歸因於圖案-周邊密度變化之晶粒表面之差分充電引起的離子傾角之修改、離子角度分佈之修改或離子軌跡之修改。在電漿鞘區中加速的帶正電荷離子與帶負電荷電子之間的方向性差異在諸如光阻遮罩或底層氧化物層之絕緣材料上積聚電荷。作為一實例,低圖案-周邊密度(隔離)區與較高圖案-周邊密度(密集)區相比可處於較高電位。表面充電模組預測表面電位之此不平衡性及所得電場,該等電場例如可變更入射離子朝向密集區之軌跡。表面充電可基於包括但不限於圖案-周邊密度、圖案-周邊密度變化或圖案-周邊佈局之因素而變化。
微型負載或表面充電效應可表達為具有線性座標(x i , y i )及多尺度梯度之給定位置處的圖案-周邊密度的函數。位置(x i , y i )可在距具有座標(x 0, y 0)的晶粒中心的距離 r ʹ處。在一些實施例中,蝕刻劑濃度梯度可如以下方程式7中表示:
Figure 02_image023
(方程式7) 其中, C為蝕刻劑濃度,
Figure 02_image025
Figure 02_image027
為與負載效應(包括微型負載效應及巨型負載效應)之多長度尺度相關聯的常數,
Figure 02_image029
為位置 r ʹ處之局部圖案密度,
Figure 02_image031
為高斯核心,且
Figure 02_image033
)為局部圖案密度之多尺度梯度。
在一些實施例中,由差分表面充電效應引起的電位梯度可如以下方程式8中表示:
Figure 02_image035
(方程式8) 其中, V為晶粒之表面處之電壓(表面電位),
Figure 02_image037
Figure 02_image039
為與表面充電效應之多長度尺度相關聯的常數,
Figure 02_image041
為位置 r ʹ處之局部圖案密度,
Figure 02_image043
為高斯核心,且
Figure 02_image045
)為局部圖案密度之多尺度梯度。
在一些實施例中,可基於時間相依蝕刻負載計算梯度。在一些實施例中,時間相依蝕刻負載依據圖案-周邊映圖及縱橫比相依蝕刻而變化。可將擴散方程式求解為,
Figure 02_image047
具有以下邊界條件
Figure 02_image049
,其中C為物種之濃度, D為擴散係數,q(r)為使用多尺度梯度迴旋獲得的蝕刻劑消耗速率
Figure 02_image051
, 其中 G為高斯核心且 L ( r , t )為時間相依蝕刻負載 蝕刻負載,
Figure 02_image053
, 且其中
Figure 02_image055
為遮罩之圖案-周邊映圖且 E ( a )為縱橫比相依蝕刻速率且 a為縱橫比。
多尺度電漿蝕刻模型300可進一步包含特徵尺度模型330。在一些實施例中,特徵尺度模型330可經組態以自晶粒尺度模型320獲得資訊,且基於與晶粒之圖案-周邊密度及圖案-周邊密度變化相關聯的所獲得資訊而模擬蝕刻剖面、蝕刻不對稱性或其類似者。特徵尺度模型330可包括物理蝕刻模型332或資料驅動蝕刻模型334,以基於圖案-周邊密度或圖案-周邊密度變化而模擬蝕刻後剖面及蝕刻製程不對稱性。
在使用多尺度模型的情況下,可基於使用晶圓尺度模型310預測之製程不對稱性及基於晶粒尺度模型320對電漿中之離子、中性物或自由基之特性的修改而判定特徵尺度下之蝕刻製程不對稱性。特性之修改可包括在特徵尺度下由於短長度尺度效應(包括差分表面充電效應、微型負載效應)引起的局部擾動。可基於圖案-周邊密度及圖案-周邊密度之梯度來判定局部擾動。特徵尺度下之總體製程不對稱性可如以下方程式9中所表示:
Figure 02_image057
(方程式9) 其中, A為總體製程不對稱性,
Figure 02_image059
為在晶圓尺度下在給定位置 r處的離子傾角,
Figure 02_image061
為位置 r ʹ處之局部圖案-周邊密度,且
Figure 02_image063
為位置 r ʹ處之圖案-周邊密度之梯度。
現在參看 4A 4B,其分別說明符合本發明之實施例的經組態以曝露於電漿(例如 2之電漿208)之晶圓403的俯視圖及橫截面圖。如 4A中所展示,可使用半導體製造及處理方法或微機電系統(MEMS)製造技術在晶圓403上製造晶粒410。晶圓403可包含用於製造積體晶片之微電子組件的基板,且可由半導體材料製成,半導體材料包括但不限於矽(Si)、鍺(Ge)、砷化鎵(GaAs)或其類似者。在一些實施例中,晶圓403可由絕緣材料製成,諸如二氧化矽(SiO 2)、玻璃、陶瓷或其類似者。
晶圓403可包含以沿著X軸及Y軸之重複圖案製造的多於一個晶粒。在本發明之內容背景中,「晶粒」可指製造功能積體電路之半導體材料塊體(例如,晶圓403)。晶粒410可包含電子組件,包括但不限於半導體器件,諸如金屬氧化物半導體場效電晶體(MOSFET)、電容器、二極體、電阻器,以及其他器件。在一些實施例中,晶圓403上之多於一個晶粒可具有與晶粒410相似的晶粒圖案。如本文中所使用,晶粒圖案係指晶粒內之器件及電路系統的佈局。應瞭解,基於所要功能及應用,晶粒亦可具有相異圖案。
4B說明沿著圖4A之橫截面413的包含晶粒410之晶圓403的橫截面圖。可將晶圓403置放於電漿反應器(例如 2之電漿蝕刻腔室210)中之載物台(例如 2之載物台204)上以執行電漿蝕刻。在半導體製造及處理中,例如使用電漿以將具有豎直側壁及高縱橫比之蝕刻特徵「乾燥」至諸如矽、二氧化矽或玻璃之材料中。在本發明之內容背景中,縱橫比係指特徵之深度對寬度之比率。舉例而言,200 μm寬且4 mm深的溝槽之縱橫比為20。與濕式蝕刻相比,可能需要電漿蝕刻或幹式蝕刻以獲得各向異性蝕刻剖面,以及其他。
在電漿蝕刻期間,晶圓403可經受電漿,該電漿具有形成於電漿與電極、腔室壁或樣本(例如晶圓403)之間的界面處之電漿鞘區421。電漿鞘區可為含有正離子及中性物種的暗的、電子耗盡的、帶正電的邊界區。之所以形成電漿鞘區係因為電漿中之電子比離子更容易移動(更高溫度及更低質量),且因此若不存在限制電位障壁,則以比離子快得多的速度自電漿逸出。電漿鞘區中之正電荷可防止更多正離子擴散出電漿,且亦可產生電位障壁以防止電子擴散出電漿。電漿鞘亦可相對於接地腔室壁或接地電極或樣本產生正電漿電位。 4B展示電漿電位剖面420,其包括電漿鞘區421,及經導向晶圓403之離子軌跡422、423及424。
4B中所展示,源自電漿鞘區421之離子軌跡422及424可不同於源自電漿之均勻電位區之離子軌跡423。離子軌跡423可實質上垂直於晶圓403之表面,或製造於晶圓403上之中心晶粒410C。離子軌跡422及424可以相對於中心軸線404成非零角度入射於晶粒410上。因為蝕刻劑離子具有不同軌跡,所以包括但不限於特徵之蝕刻剖面、蝕刻速率、蝕刻各向異性或蝕刻不對稱性的蝕刻特性可不同。在一些實施例中,特徵之蝕刻剖面可基於晶粒在晶圓403上之位置。
作為一實例,周邊晶粒410之特徵408的蝕刻剖面425及427與中心晶粒410C之蝕刻剖面426相比可為不對稱的。蝕刻剖面425及427說明位於距中心點(X=0,Y=0)徑向距離 r處的周邊晶粒410之特徵408的蝕刻後檢測(AEI)剖面。蝕刻剖面426說明中心晶粒410C之特徵408的AEI剖面。
現在參看 5,其說明符合本發明之實施例的位於距晶圓中心徑向距離 r處的晶粒之示意圖。晶粒510可包含晶圓(例如, 4A之晶圓403)上之周邊晶粒,且可實質上相似於 4B之周邊晶粒410。晶粒510可位於自晶圓之中心至具有局部座標(x 0, y 0)之晶粒510之中心量測的徑向距離 r處。晶粒510可包括類似於 4A之特徵408的例示性特徵508。特徵508之位置座標可使用距(x 0, y 0)一定距離 r ʹ處之線性座標(x i , y i )表示。應瞭解,儘管晶粒510被說明為包括特徵508,但其可包含與特徵508相似或不相似的複數個特徵。
晶粒510可包含微電子器件,包括但不限於電晶體、二極體、電阻器、電容器,及包含以圖案或佈局配置之微電子器件的電路系統。在一些實施例中,可基於應用預定圖案或佈局。晶粒之圖案密度係指晶粒之單位面積中的器件數目。基於器件之配置,晶粒510可包含具有高圖案密度之區(例如,密集區522)或具有較低圖案-周邊密度之區(例如,隔離區524)。儘管未在 5中明確地說明,但應瞭解,在晶粒內可存在具有中間或變化密度位準之一或多個區。
在一些實施例中,可在指示器件之物理佈局的對應圖案-周邊密度圖中表示與晶粒之圖案-周邊密度或橫越晶粒之圖案-周邊密度變化相關聯的資訊。與晶粒510之圖案-周邊密度圖相關聯之資訊,結合與來自晶圓尺度模型310之晶圓尺度下的離子傾角、離子角度、離子能量、通量相關聯的資訊,可由晶粒尺度模型320使用,以模擬電漿中之離子、中性物或自由基的特性。自晶粒尺度模型化導出之離子特性可包括但不限於:基於微型負載效應及表面充電效應中之一者或兩者的離子傾角之修改、離子能量之修改、離子通量之修改、離子角度分佈之修改、中性通量之修改、自由基通量之修改或其類似者。
現在參看 6,其說明符合本發明之實施例的在晶粒之密集區與隔離區之間的接面處之離子軌跡之偏差。晶粒610可包含密集區622、隔離區624及該密集區與該隔離區之間的接面區623。在一些實施例中,電漿中之離子605可沿著初始路徑或初始離子軌跡610a導向晶粒610。離子605或包含複數個離子之離子束(圖中未繪示)可入射於位於隔離區624中之特徵608上。當離子605接近特徵608之表面時,差分表面充電效應可導致離子軌跡自初始離子軌跡610a至「接近於」入射表面之最終離子軌跡620a的偏差。離子軌跡之偏差可在距入射表面一定距離 d處出現或起始,且可在距入射表面2 mm或更小、1 mm或更小、500 μm或更小、200 μm或更小或100 μm或更小之範圍內。
現在參看 7A 7C,其說明符合本發明之實施例的包含特徵及差分表面充電效應的高圖案-周邊密度區及低圖案-周邊密度區的示意圖。
7A說明分別類似於 5 6之密集區522及622的密集區(高圖案-周邊密度) 722之示意圖,及分別類似於 5 6之隔離區524及624的隔離區(較低圖案-周邊密度) 724之示意圖。密集區722可包含複數個特徵708,該複數個特徵以重複方式配置,例如矩陣、陣列、圖案或隨機地配置。與密集區722相比,隔離區724可包含較少特徵。特徵708可包括但不限於對準標記、溝槽、金屬接觸墊、電晶體閘極、通孔或其他此類特徵。
7B說明符合本發明之實施例的使用晶粒尺度模型針對密集區及隔離區之經模擬差分表面充電效應的標繪圖。如 7B中所展示,隔離區724與密集區722相比在入射表面上方任何給定距離處皆處於較高電位。密集區722之表面及側壁大部分由於電子而帶負電,而大部分帶正電荷離子逸出至溝槽中,此係由於帶正電荷離子具有更各向異性的角度分佈。隔離區724接收遍及RF循環而平均化的電子及離子之相等電流。密集表面及隔離表面之此差分充電可產生以伏特(V)量測之表面電位,其表示在標繪圖之Y軸上。
7C說明符合本發明之實施例的使用晶粒尺度模型針對密集區及隔離區之經模擬差分表面充電效應的標繪圖。如 7C中所展示,隔離區724之表面電位與密集區722相比在橫越入射表面之任何給定點處皆更高。密集區722之表面及側壁大部分由於電子而帶負電,而大部分帶正電荷離子逸出至溝槽中,此係由於帶正電荷離子具有更各向異性的角度分佈。隔離區724接收遍及RF循環而平均化的電子及離子之相等電流。密集表面及隔離表面之此差分充電可產生以伏特(V)量測之表面電位,其表示在標繪圖之Y軸上。
現在參看 8A 8B,其說明符合本發明之實施例的高圖案-周邊密度區及低圖案-周邊密度區之示意圖,以及高圖案-周邊密度區中之離子角度分佈。
8A說明包含高圖案-周邊密度區822、低圖案-周邊密度區824及接面區823之晶粒之區的示意圖。高圖案-周邊密度區822可包含一或多個特徵808。與高密度區822相比,低圖案-周邊密度區824可不包含特徵或包含較少特徵。
8B說明接面區823處之晶粒之表面上的入射離子之經模擬之經修改離子角度分佈。離子角度分佈可表示為正態、標準或高斯分佈函數。 8B說明在接面區823處入射於晶粒之表面上的離子之經修改離子角度分佈,且可藉由有限正偏移來修改離子角度之平均值(或中值或模式)。晶粒尺度模型(例如 3之晶粒尺度模型320)可經組態以基於圖案-周邊密度模擬離子角度分佈之修改。在一些實施例中,晶粒尺度模型320可經進一步組態以模擬電漿粒子之多於一個特性之修改,該等特性包括但不限於:離子傾角、離子角度分佈、離子軌跡、離子通量、離子能量。
現在參看 9,其說明符合本發明之實施例的包含用於模擬電漿蝕刻製程之資料驅動模型的電漿模擬系統900。電漿模擬系統900可包括晶圓尺度模型910、晶粒尺度模型920、訓練影像930、機器學習網路940、經訓練影像950。應瞭解,模擬系統900亦可包含其他相關組件(未說明)。
晶圓尺度模型910可實質上相似於 3之晶圓尺度模型310並可執行與該晶圓尺度模型310實質上相似的功能。類似於晶圓尺度模型310,晶圓尺度模型910可包含資料輸入模組、資料處理模組及後製程模組。晶圓尺度模型910可經組態以基於自資料輸入模組獲得之資訊(諸如用於蝕刻之製程條件、腔室幾何形狀等)而預測電漿特性,包括但不限於離子傾角、離子軌跡、離子角度分佈或離子通量。晶圓尺度模型910可經進一步組態以預測例如位於距晶圓中心徑向距離 r處的晶圓(例如, 4之晶圓403)上之給定位置處的離子傾角。在一些實施例中,與使用晶圓尺度模型910之預測之電漿特性相關聯的資訊可儲存於機器學習網路940之儲存模組(圖中未繪示)中。
晶粒尺度模型920可實質上相似於 3之晶粒尺度模型320並可執行與該晶粒尺度模型320實質上相似的功能。類似於晶粒尺度模型320,晶粒尺度模型920可包含資料輸入模組及一或多個子模組以用於基於圖案-周邊密度或圖案-周邊密度變化或晶粒之圖案佈局而模型化微型負載效應及差分表面充電效應。晶粒尺度模型920可經組態以基於圖案-周邊密度圖或圖案-周邊密度變化圖預測自晶圓尺度模型920預測的電漿特性之修改。在一些實施例中,晶粒尺度模型920可經組態以將與電漿特性之經預測修改相關聯的資訊儲存於機器學習網路940之儲存模組中。
在一些實施例中,電漿模擬系統900可為經訓練以自發地自資料庫接收或提取訓練影像930的自動化機器學習網路。訓練影像930可為特徵之顯影後影像,或晶圓(例如, 4之晶圓403)上之所關注區的顯影後影像,或可包括特徵之複數個顯影後影像。可使用檢測系統之影像獲取器來獲取訓練影像930。在接收或獲取訓練影像930或與訓練影像930相關聯之資訊之後,機器學習網路940可自發地提取相關經訓練特徵。可將所提取之經訓練特徵儲存於儲存模組(圖中未繪示)中或暫時儲存於儲存庫(圖中未繪示)中。儲存模組可由機器學習網路940或電漿模擬系統900之使用者存取。
在一些實施例中,機器學習網路940可經組態以自訓練影像930提取特徵資訊。機器學習網路320亦可自包含GDS格式檔案或OASIS格式檔案之資訊檔案提取相關特徵。機器學習網路940可包括例如人工智慧系統、神經網路、迴旋編碼器-解碼器,或深度學習技術、軟體實施演算法,或其類似者。機器學習網路940之特徵提取架構可包含例如迴旋神經網路。在一些實施例中,可採用深度學習架構之線性分類器網路作為起始點來訓練及建置機器學習網路940之特徵提取架構。
在一些實施例中,機器學習網路940可包括經組態以自訓練影像930提取資訊或圖案-周邊之圖案-周邊提取器(圖中未繪示)。圖案-周邊提取器可為數學演算法、軟體實施演算法、影像處理演算法或其類似者。圖案-周邊提取器可整合至影像獲取器(圖中未繪示)中或可經組態以作為單獨的獨立式單元而操作,該獨立式單元經組態以處理訓練影像930。在一些實施例中,圖案-周邊提取器可包含影像處理單元(圖中未繪示),該影像處理單元經組態以在儲存於機器學習網路940之儲存模組中之前調整訓練影像930之亮度、對比度、飽和度、平坦度、雜訊濾波等。
在一些實施例中,機器學習網路940可進一步包含影像獲取器、影像增強器、顯示器件或其類似者。機器學習網路940可經組態以自訓練影像930提取圖案-周邊資訊及自晶圓尺度模型910及晶粒尺度模型920接收與經預測電漿特性相關聯的資訊。
在一些實施例中,機器學習網路940可經進一步組態以基於來自訓練影像930、晶圓尺度模型910及晶粒尺度模型920之資訊產生經訓練影像950。經訓練影像950可包含基於使用晶圓尺度模型910及晶粒尺度模型920的經預測特徵蝕刻剖面之經模擬的蝕刻後影像。表示在剝離光阻之後的特徵之經模擬蝕刻特性之經訓練影像950可由請求資訊之多個審閱者或使用者來審閱。在一些實施例中,稍後可藉由使用者提示來擷取經訓練影像950以供檢閱及深入分析。可以合適格式儲存經訓練影像950,該合適格式例如聯合圖像專家群(JPEG)檔案、攜帶型網路圖形(PNG)檔案、攜帶型文件格式(PDF)檔案、帶標影像檔案格式(TIFF)檔案或其類似者。
10為說明符合本發明之實施例的用於模擬電漿蝕刻製程之例示性模擬方法1000的製程流程圖。可在電漿製程模擬系統(例如 1之電漿製程模擬系統100)中使用物理蝕刻模型(例如 3之多尺度電漿蝕刻模型300)來執行模擬方法。舉例而言,處理器(例如, 1之處理器180)可包括模型化程式或演算法,且可經程式化以實施模擬方法。應瞭解,可在適當時重排序、添加、移除或編輯在模擬方法1000中執行之步驟。
在步驟1010中,模擬方法可包括基於第一複數個參數以第一尺度預測電漿之粒子之第一特性。電漿可為包含帶正電荷離子(蝕刻劑物種)、自由基、中性物種或電子之中性離子化氣體。第一特性可包含粒子之物理特性,諸如離子傾角、離子能量、離子軌跡、離子通量、離子角度分佈,以及其他特性。第一尺度可為尺寸高達400 mm的晶圓尺度。第一複數個參數可包含腔室幾何形狀、製程條件或晶圓位置。晶圓尺度模型(例如, 3之晶圓尺度模型310)可用以以晶圓尺度預測電漿之第一特性。
在一些實施例中,預測諸如離子傾角之電漿特性例如可包括使用晶圓尺度模型以晶圓尺度預測電漿鞘剖面。晶圓尺度模型310之資料處理模組(例如 3之資料處理模組305)可經組態以接收與電漿處理腔室及製程條件相關聯之資訊。資料處理模組可經進一步組態以基於電漿處理腔室幾何形狀及製程條件預測電漿鞘區中之電漿電位之剖面。預測晶圓上徑向距離 r處的位置處之離子傾角可進一步包括在存在電位梯度的情況下追蹤離子之軌跡。晶圓尺度模型亦可經組態以預測電漿特性,諸如離子角度分佈、離子能量、離子通量、離子軌跡或其類似者。
在步驟1020中,模擬方法可包括基於由第二複數個參數引起的第一特性之修改而以第二尺度預測粒子之第二特性。第二尺度可包括尺寸在5 mm至20 mm或更大範圍內的晶粒尺度。晶粒(例如, 4A之周邊晶粒410)可包括尺寸在5 nm至100 μm或更大範圍內的複數個特徵。晶粒尺度模型(例如, 3之晶粒尺度模型320)可經組態以接收電漿之第一特性中之一或多者且以晶粒尺度預測第二特性中之一或多者。
第二特性可包括離子傾角、離子能量、離子軌跡、離子通量、離子角度分佈、中性通量、自由基通量以及其他特性之修改。在一些實施例中,粒子之第二特性可包含在一或多個尺度(諸如晶圓尺度、晶粒尺度或特徵尺度)下之電漿粒子之物理特性。接近晶粒上之特徵之表面的電漿中之離子之特性的修改可由包括但不限於以下各者之因素引起:圖案-周邊密度、圖案-周邊密度變化或圖案-周邊佈局以及其他因素。舉例而言,歸因於圖案-周邊密度變化之差分表面充電效應可修改入射離子之離子傾角、離子軌跡、離子角度分佈、離子能量或離子通量。作為一不同實例,歸因於圖案-周邊密度變化之微型負載效應可修改入射於晶粒表面上之中性物或自由基的通量。晶粒尺度模型可經組態以基於特徵相對於晶粒中心(x 0, y 0)之位置 r ʹ來預測來自晶圓尺度之電漿特性的修改。
在一些實施例中,在離子接近晶粒表面時預測電漿特性之修改可包括存取晶粒之圖案-周邊佈局。圖案-周邊佈局可包括圖案-周邊密度圖或圖案-周邊密度變化圖。圖案-周邊密度圖可包含基於所要應用之晶粒設計的高圖案-周邊密度區(密集區)及較低圖案-周邊密度區(隔離區)。晶粒尺度模型可基於圖案-周邊密度圖或圖案-周邊密度變化圖而預測電漿特性之修改。
在晶粒尺度模型中,基於圖案-周邊密度圖或圖案-周邊密度變化圖預測電漿特性之修改可包括識別晶粒之具有第一圖案-周邊密度的第一區及晶粒之具有不同於第一圖案-周邊密度之第二圖案-周邊密度的第二區。該第一區及該第二區可分別包括密集區及隔離區。應瞭解,第一區及第二區亦可分別包括隔離區及密集區。
在存取圖案-周邊密度圖後,晶粒尺度模型可基於經識別之密集區及隔離區預測蝕刻劑(中性物或自由基)之濃度梯度。由與隔離區相比,密集區中之蝕刻劑之較高消耗所引起的濃度梯度可能會影響蝕刻劑自晶粒表面上之隔離區至密集區之擴散。晶粒尺度模型可基於相鄰圖案、圖案-周邊密度或圖案-周邊密度變化而預測位置 r ʹ處之電漿特性之修改。
在步驟1030中,模擬方法可包括基於自晶圓尺度及晶粒尺度模型預測的帶電粒子之第一及第二特性而模擬特徵之蝕刻特性。在一些實施例中,模擬方法可進一步包括基於電漿粒子之一或多個物理特性或基於在一或多個尺度下之物理特性而模擬蝕刻特性。包含晶圓尺度及晶粒尺度模型之多尺度電漿蝕刻模型可經組態以模擬蝕刻特性,該蝕刻特性包括但不限於晶粒上之特徵的蝕刻剖面、蝕刻速率、蝕刻均勻性、蝕刻選擇性或其類似者。在一些實施例中,模擬方法可產生經模擬蝕刻特性之影像,或產生呈圖形格式或製表格式或其他格式的與經模擬蝕刻特性相關聯的資訊。
現在參看 11,其說明符合本發明之實施例的說明用於使用資料驅動模型模擬電漿蝕刻製程之例示性模擬方法1100的製程流程圖。可在電漿製程模擬系統(例如 1之電漿製程模擬系統100)中使用資料驅動蝕刻模型(例如 3之資料驅動蝕刻模型334)來執行模擬方法。舉例而言,處理器(例如, 1之處理器180)可包括模型化程式或演算法,且可經程式化以實施模擬方法。應瞭解,可在適當時重排序、添加、移除或編輯在模擬方法1100中執行之步驟。
在步驟1110中,模擬方法可包括獲取特徵之第一影像。第一影像可包含訓練影像(例如, 9之訓練影像930)、特徵之顯影後影像或複數個顯影後影像。可自資料庫、儲存模組或在一些狀況下自光學檢測系統之影像獲取器即時地獲取、擷取、存取或獲得該(該等)影像。
在步驟1120中,模擬方法可包括基於來自所獲取訓練影像之圖案-周邊資訊來識別特徵。可使用圖案-周邊提取器來提取圖案-周邊資訊且可使用圖案-周邊提取器來識別特徵。圖案-周邊資訊可包含全局結構資訊,例如用於晶圓上之光微影製程之參考基準、對準標記、晶圓上之參考特徵、待蝕刻之特徵等。舉例而言,可藉由特徵提取演算法執行特徵之識別。
在步驟1130中,模擬方法可包括預測待使用電漿蝕刻製程蝕刻之特徵的蝕刻剖面。預測蝕刻剖面可包括:基於第一複數個參數以第一尺度預測電漿之粒子之第一特性;及基於由第二複數個參數引起的第一特性之修改而以第二尺度預測粒子之第二特性。
第一特性可包含離子傾角、離子能量、離子軌跡、離子通量、離子角度分佈,以及其他特性。第一尺度可為尺寸高達400 mm的晶圓尺度。第一複數個參數可包含腔室幾何形狀、製程條件或晶圓位置。晶圓尺度模型(例如, 3之晶圓尺度模型310)可用以以晶圓尺度預測電漿之第一特性。
第二尺度可包括尺寸在5 mm至20 mm或更大範圍內的晶粒尺度。晶粒(例如, 4A之周邊晶粒410)可包括尺寸在5 nm至100 μm或更大範圍內的複數個特徵。晶粒尺度模型(例如, 3之晶粒尺度模型320)可經組態以接收電漿之第一特性中之一或多者且以晶粒尺度預測第二特性中之一或多者。第二特性可包括離子傾角、離子能量、離子軌跡、離子通量、離子角度分佈、中性通量、自由基通量以及其他特性之修改。接近晶粒上之特徵之表面的電漿中之離子、中性物或自由基之特性的修改可由包括但不限於以下各者之因素引起:圖案-周邊密度、圖案-周邊密度變化或圖案佈局以及其他因素。舉例而言,歸因於圖案-周邊密度變化之差分表面充電效應可修改入射離子之離子傾角、離子軌跡、離子角度分佈、離子能量或離子通量。作為一不同實例,歸因於圖案-周邊密度變化之微型負載效應可修改入射於晶粒表面上之中性物或自由基的通量。晶粒尺度模型可經組態以基於特徵相對於晶粒中心(x 0, y 0)之位置 r ʹ來預測來自晶圓尺度之電漿特性的修改。
在一些實施例中,在離子接近晶粒表面時預測電漿特性之修改可包括存取晶粒之圖案佈局。圖案佈局可包括圖案-周邊密度圖或圖案-周邊密度變化圖。圖案-周邊密度圖可包含基於所要應用之晶粒設計的高圖案-周邊密度區(密集區)及較低圖案-周邊密度區(隔離區)。晶粒尺度模型可基於圖案-周邊密度圖或圖案-周邊密度變化圖而預測電漿特性之修改。
在晶粒尺度模型中,基於圖案-周邊密度圖或圖案-周邊密度變化圖預測電漿特性之修改可包括識別晶粒之具有第一圖案-周邊密度的第一區及晶粒之具有不同於第一圖案-周邊密度之第二圖案-周邊密度的第二區。該第一區及該第二區可分別包括密集區及隔離區。應瞭解,第一區及第二區亦可分別包括隔離區及密集區。
在存取圖案-周邊密度圖之後,晶粒尺度模型可基於經識別之密集區及隔離區預測蝕刻劑之濃度梯度。由與隔離區相比,密集區中之蝕刻劑之較高消耗所引起的蝕刻劑之濃度梯度可能引起包括中性物或自由基之電漿之不帶電粒子自晶粒表面上的隔離區擴散至密集區。晶粒尺度模型可基於相鄰圖案、圖案-周邊密度、圖案-周邊密度變化或圖案-周邊密度梯度而預測位置 r ʹ處之電漿特性之修改。
在步驟1140中,模擬方法可包括產生包含特徵之經預測蝕刻剖面的第二影像。該第二影像可包含特徵之經模擬蝕刻後剖面的經訓練影像(例如 9之經訓練影像950)。可基於分別在晶圓尺度及晶粒尺度下的經預測電漿特性及電漿特性之修改而產生經模擬影像。經模擬影像可為訓練影像中之經顯影特徵之經預測蝕刻剖面。
現在參看 12,其說明符合本發明之實施例的例示性多尺度物理蝕刻模型1200。多尺度蝕刻模型1200可包含廠房尺度模型1210、腔室尺度模型1220、晶圓尺度模型1230、晶粒尺度模型1240及特徵尺度模型1250,以基於多尺度物理蝕刻模型途徑產生經模擬蝕刻剖面。
半導體晶圓處理設施通常被稱作製造設施或「廠房」。廠房可裝備有經組態以執行包括電漿沈積、電漿蝕刻、電漿處理或其類似者之電漿製程的一或多個電漿反應器。為了增加晶圓產出量、減少循環時間且改良製程控制,多個電漿反應器可經組態及利用以執行單一製程、單一步驟或晶圓處理循環之製程的一部分。舉例而言,可嚙合多個電漿反應器以對大批量晶圓執行多晶矽閘極蝕刻,且預期自每一反應器在所有晶圓上產生的特徵之蝕刻特性實質上相似或在規格內。然而,實務上,反應器並不相同地處理晶圓,且因此引起所產生特徵之蝕刻特性的變化。在一些情況下,在同一工具中處理之晶圓的特徵可在循環之間或甚至在同一循環內變化。蝕刻特性之此等變化可由包括但不限於以下各者之因素引起:腔室處理歷史、腔室特性、硬體設定、維護排程、腔室老化、蝕刻化學性質等。特徵之蝕刻效能及蝕刻特性之可變性可不利地影響製程良率、產出量、成本,且在一些狀況下亦可引起器件故障。
用以橫越在多個腔室中處理之多個晶圓產生一致蝕刻特徵的若干方法中之一者包括「腔室匹配」。腔室匹配可尤其包括最佳化參考「金」腔室上之操作參數及將最佳化參數複製至設施中之多個腔室,或最佳化在規格及容限位準內之每一腔室的操作參數。舉例而言,可使用諸如多尺度物理蝕刻模型1200之多尺度物理蝕刻模型來增強模型化在電漿腔室中處理之晶圓上之晶粒內之特徵的蝕刻特性的準確度。
在一些實施例中,多尺度物理蝕刻模型1200可包含兩個或多於兩個模型。儘管 12展示五個模型,但可存在更多或更少模型,且該等模型可包括一或多個子模組。自一個模型獲得之資訊可在一或多個模型之間共用,如由 12中之點線所指示。在一些實施例中,廠房尺度模型1210可經組態以基於包括但不限於以下各者之因素而預測廠房內之腔室的邊界條件:腔室條件、腔室處理歷史、腔室限制或腔室維護排程。舉例而言,廠房中之腔室X可能不具備利用矽烷執行蝕刻製程的資格。廠房尺度模型1210可獲得與腔室X相關聯之資訊且可自腔室匹配邊界條件排除該腔室。廠房尺度模型1210可經進一步組態以基於自諸如腔室尺度模型1220、晶圓尺度模型1230、晶粒尺度模型1240或特徵尺度模型1250之一或多個模型獲得之資訊執行腔室匹配。
在一些實施例中,可將邊界條件應用於經組態以執行電漿製程之兩個或多於兩個腔室。舉例而言,邊界條件內之複數個腔室可形成網路,經由該網路可共用與一或多個腔室相關聯之資訊。可在同一尺度內或橫越包括腔室尺度、晶圓尺度、晶粒尺度或特徵尺度之多個尺度共用該資訊。
腔室尺度模型1220可經組態以預測腔室之特性。腔室之特性可包括但不限於腔室壁條件、氣體入口位置、泵出口位置、腔室幾何形狀、腔室材料或其類似者。用於腔室尺度模型1220之邊界條件可基於例如可從中提取關於所要特性之資訊的腔室內之位置而判定。在一些實施例中,腔室尺度模型可包含1維(1D)網路模型。
晶圓尺度模型1230、晶粒尺度模型1240及特徵尺度模型1250可分別類似於 3之晶圓尺度模型310、晶粒尺度模型320及特徵尺度模型330,且可執行實質上相似的功能。在一些實施例中,所使用之邊界條件、物理模型及演算法可基於尺度或層級而不同。在一些實施例中,一或多個層級處之邊界條件、物理模型及演算法可受其他層級處之邊界條件、物理模型及演算法影響。舉例而言,廠房尺度模型1210之邊界條件雖然不同於腔室尺度模型1220之邊界條件,但可相互相依。
在使用多尺度物理蝕刻模型1200的情況下,可基於自包括晶粒尺度、晶圓尺度、腔室尺度或廠房尺度之一或多個尺度獲得的資訊來預測晶圓(例如 4A之晶圓403)上之特徵之蝕刻特性。作為一實例,特徵之蝕刻特性可基於在晶粒尺度下之圖案-周邊密度圖而變化,且可至少基於使用晶粒尺度模型320獲得之資訊來預測蝕刻特性。在一些實施例中,特徵之蝕刻特性可基於圖案-周邊密度圖及離子軌跡或晶圓上之位置處的離子傾角而變化。可基於自晶粒尺度模型320及晶圓尺度模型310獲得之資訊預測特徵之蝕刻特性。
在一些實施例中,除了晶粒尺度及晶圓尺度因數以外,特徵之蝕刻特性亦可基於例如腔室壁條件而變化。可使用腔室尺度模型1220獲得與腔室之特性相關聯的資訊。所執行蝕刻製程之蝕刻配方、蝕刻製程、蝕刻持續時間、蝕刻化學物質或其類似者可影響腔室之特性,諸如腔室壁條件、腔室壓力、氣體入口位置、泵出口位置。晶圓之生產線終端(end-of-line)良率可受到一或多個腔室特性影響。舉例而言,在經組態以執行精益蝕刻化學之腔室中處理的晶圓上之特徵之蝕刻特性可不同於經組態以執行聚合蝕刻化學之腔室。因此,自腔室尺度模型1220獲得之資訊可影響晶圓尺度模型1230或晶粒尺度模型1240或特徵尺度模型1250中之資訊。
在一些實施例中,除了晶粒尺度、晶圓尺度及腔室尺度以外,特徵之蝕刻特性亦可基於例如執行蝕刻製程之腔室而變化。可使用廠房尺度模型1210獲得與廠房中之複數個腔室中之腔室相關聯的資訊。在一些實施例中,自特徵尺度模型1250獲得之資訊可用以在廠房尺度模型1210中藉由調整操作參數或微調蝕刻條件而執行腔室匹配。
在一些實施例中,多尺度物理蝕刻模型1200可經組態以控制蝕刻傾角,如 4B中所提及。舉例而言,可藉由調整聚焦環(例如, 2之聚焦環205)之特性來調整蝕刻傾角。聚焦環205之特性可包括至聚焦環之水平位置、豎直位置、形狀、建構材料、橫截面或至聚焦環之所施加電壓。舉例而言,可調整施加至聚焦環之電壓以調整電漿之帶電粒子朝向晶圓邊緣之軌跡,或可物理地調整聚焦環之豎直位置以調整晶圓邊緣處之蝕刻劑約束、電漿鞘之形狀或緊接在晶圓表面上方之電漿之離子的軌跡。接近晶圓表面之經修改軌跡或離子傾角可影響特徵之蝕刻剖面。
可提供非暫時性電腦可讀媒體,其儲存用於控制器(例如, 1之控制器50)之處理器進行影像檢測、影像獲取、影像處理、資料庫管理、資料之數值分析、執行模型化及模擬演算法、資料儲存、產生影像等之指令。非暫時性媒體之常見形式包括例如軟碟、可撓性磁碟、硬碟、固態磁碟機、磁帶或任何其他磁性資料儲存媒體、光碟唯讀記憶體(CD-ROM)、任何其他光學資料儲存媒體、具有孔圖案之任何實體媒體、隨機存取記憶體(RAM)、可程式化唯讀記憶體(PROM)及可抹除可程式化唯讀記憶體(EPROM)、FLASH-EPROM或任何其他快閃記憶體、非揮發性隨機存取記憶體(NVRAM)、快取記憶體、暫存器、任何其他記憶體晶片或卡匣,及其網路化版本。
可藉由以下條項進一步描述本發明之實施例。 1.         一種用於模擬一電漿蝕刻製程之方法,該方法包含: 基於第一複數個參數以一第一尺度預測一電漿之一粒子之一第一特性; 基於由第二複數個參數引起的該第一特性之一修改而以一第二尺度預測該粒子之一第二特性;及 基於該粒子之該第一特性及該第二特性而模擬一特徵之一蝕刻特性。 2.         如條項1之方法,其進一步包含基於該第一複數個參數以該第一尺度預測該電漿之一外鞘剖面,其中該第一尺度包含一晶圓尺度。 3.         如條項2之方法,其中預測該第一特性包含判定該經預測外鞘剖面之一梯度,且其中該第一特性包含經導向一晶圓之該粒子之一入射角、一軌跡或一能量。 4.         如條項3之方法,其中該第一複數個參數包含經組態以執行該電漿蝕刻製程之一電漿反應器之幾何形狀、用於該電漿蝕刻製程之一製程條件或該晶圓上之一位置。 5.         如條項3及4中任一項之方法,其中預測該第二特性包含以該第二尺度預測該粒子之該入射角、該軌跡或該能量的一修改,且其中該第二尺度包含一晶粒尺度。 6.         如條項5之方法,其中預測該第二特性進一步包含: 存取一晶粒之一佈局,該佈局包含一圖案-周邊密度圖;及 基於該圖案-周邊密度圖預測該粒子之該第二特性,其中該粒子包含一帶電粒子或一不帶電粒子。 7.         如條項6之方法,其中預測該電漿之該帶電粒子之該第二特性包含: 基於該圖案-周邊密度圖,識別該晶粒之具有一第一圖案-周邊密度的一第一區及該晶粒之具有不同於該第一圖案-周邊密度之一第二圖案-周邊密度的一第二區; 預測經識別之該第一區與該第二區之間的一電位梯度;及 基於該電位梯度預測該帶電粒子之該第二特性。 8.         如條項7之方法,其中預測該電位梯度包含: 預測包含具有一高圖案-周邊密度之一密集區的該第一區之一第一電位;及 預測包含具有一較低圖案-周邊密度之一隔離區的該第二區之一第二電位,其中該第一電位與該第二電位不同。 9.         如條項8之方法,其中該第一電位低於該第二電位。 10.      如條項7至9中任一項之方法,其中預測該電漿之該不帶電粒子之該第二特性包含: 預測經識別之該第一區與該第二區之間的一蝕刻劑之一濃度梯度; 基於該濃度梯度預測該蝕刻劑之一擴散通量;及 基於該擴散通量預測該不帶電粒子之該第二特性。 11.      如條項10之方法,其中預測該濃度梯度包含: 預測該第一區中之該蝕刻劑之一第一濃度;及 預測該第二區中之該蝕刻劑之一第二濃度,其中該第一濃度與該第二濃度不同。 12.      如條項11之方法,其中該第一濃度低於該第二濃度。 13.      如條項6至12中任一項之方法,其進一步包含基於該晶粒之一圖案-周邊密度梯度及一高斯核心以該第二尺度預測該粒子之該第二特性,其中該高斯核心為包含範圍介於5 nm至50 μm之一長度尺度的一多長度尺度核心。 14.      如條項13之方法,其中該第二複數個參數包含該晶粒之該佈局、一圖案-周邊密度、一圖案-周邊密度變化或該圖案-周邊密度梯度。 15.      如條項6至14中任一項之方法,其中模擬該蝕刻特性包含基於該晶粒之該圖案-周邊密度圖模擬該特徵之一蝕刻速率、一蝕刻剖面或一蝕刻不對稱性。 16.      一種用於產生一特徵之一經模擬影像之方法,該方法包含: 獲取該特徵之一第一影像; 基於來自該影像之一圖案-周邊資訊識別該特徵; 預測待使用一電漿蝕刻製程來蝕刻之該特徵之一蝕刻剖面,該預測包含: 基於第一複數個參數以一第一尺度預測一電漿之一粒子之一第一特性;及 基於由第二複數個參數引起的該第一特性之一修改而以一第二尺度預測該粒子之一第二特性;及 產生包含該特徵之該經預測蝕刻剖面的一第二影像。 17.      如條項16之方法,其進一步包含自一使用者定義之資料庫獲取該第一影像,其中該使用者定義之資料庫包含一圖形資料庫系統。 18.      如條項16及17中任一項之方法,其中識別該特徵包含使用一機器學習網路比較該圖案-周邊資訊與來自一經訓練影像之一經訓練特徵。 19.      如條項16至18中任一項之方法,其中該第一影像包含該特徵之一顯影後影像。 20.      如條項16至19中任一項之方法,其中該第一尺度包含一晶圓尺度,且該第二尺度包含一晶粒尺度。 21.      如條項20之方法,其中預測該蝕刻剖面進一步包含基於該第一複數個參數以該晶圓尺度預測該電漿之一外鞘剖面。 22.      如條項21之方法,其中預測該第一特性包含判定該經預測外鞘剖面之一梯度,且其中該第一特性包含經導向一晶圓之該粒子之一入射角、一軌跡或一能量。 23.      如條項22之方法,其中該第一複數個參數包含經組態以執行該電漿蝕刻製程之一電漿反應器之幾何形狀、用於該電漿蝕刻製程之一製程條件或該晶圓上之一位置。 24.      如條項22及23中任一項之方法,其中預測該第二特性包含以該晶粒尺度預測該粒子之該入射角、該軌跡或該能量的一修改。 25.      如條項24之方法,其中預測該第二特性進一步包含: 存取一晶粒之一佈局,該佈局包含一圖案-周邊密度圖;及 基於該圖案-周邊密度圖預測該粒子之該第二特性,其中該粒子包含一帶電粒子或一不帶電粒子。 26.      如條項25之方法,其中預測該電漿之該帶電粒子之該第二特性進一步包含: 基於該圖案-周邊密度圖,識別該晶粒之具有一第一圖案-周邊密度的一第一區及該晶粒之具有不同於該第一圖案-周邊密度之一第二圖案-周邊密度的一第二區; 基於經識別之該第一區及該第二區預測一電位梯度;及 基於該電位梯度預測該帶電粒子之該第二特性。 27.      如條項26之方法,其中預測該電位梯度包含: 預測包含具有一高圖案-周邊密度之一密集區的該第一區之一第一電位;及 預測包含具有一較低圖案-周邊密度之一隔離區的該第二區之一第二電位,其中該第一電位與該第二電位不同。 28.      如條項27之方法,其中該第一電位低於該第二電位。 29.      如條項26至28中任一項之方法,其中預測該電漿之該不帶電粒子之該第二特性包含: 預測經識別之該第一區與該第二區之間的一蝕刻劑之一濃度梯度; 基於該濃度梯度預測該蝕刻劑之一擴散通量;及 基於該擴散通量預測該不帶電粒子之該第二特性。 30.      如條項29之方法,其中預測該濃度梯度包含: 預測該第一區中之該蝕刻劑之一第一濃度;及 預測該第二區中之該蝕刻劑之一第二濃度,其中該第一濃度與該第二濃度不同。 31.      如條項30之方法,其中該第一濃度低於該第二濃度。 32.      如條項24至31中任一項之方法,其進一步包含基於該晶粒之一圖案-周邊密度梯度及一高斯核心以該第二尺度預測該粒子之該第二特性,其中該高斯核心為包含範圍介於5 nm至50 μm之一長度尺度的一多長度尺度核心。 33.      如條項32之方法,其中該第二複數個參數包含該晶粒之該佈局、一圖案-周邊密度、一圖案-周邊密度變化或該圖案-周邊密度梯度。 34.      一種電漿蝕刻模擬系統,其包含: 一記憶體,其儲存一指令集;及 一處理器,其經組態以執行該指令集以致使該電漿蝕刻模擬系統進行以下操作: 基於第一複數個參數以一第一尺度預測一電漿之一粒子之一第一特性; 基於由第二複數個參數引起的該第一特性之一修改而以一第二尺度預測該粒子之一第二特性;及 基於該粒子之該第一特性及該第二特性而模擬一特徵之一蝕刻特性。 35.      如條項34之系統,其中該處理器經組態以執行該指令集以進一步致使該電漿蝕刻模擬系統進行以下操作: 基於該第一複數個參數以該第一尺度預測該電漿之一外鞘剖面; 判定該經預測外鞘剖面之一梯度;及 基於該經預測外鞘剖面之該梯度判定經導向一晶圓之該粒子之一入射角、一軌跡或一能量。 36.      如條項35之系統,其中該處理器經組態以執行該指令集以進一步致使該電漿蝕刻模擬系統進行以下操作: 存取一晶粒之一佈局,該佈局包含一圖案-周邊密度圖;及 基於該圖案-周邊密度圖預測該粒子之該第二特性,其中該粒子包含一帶電粒子或一不帶電粒子,且其中該第二特性包含該粒子之該入射角、該軌跡或該能量之一修改。 37.      如條項36之系統,其中該處理器經組態以執行該指令集以進一步致使該電漿蝕刻模擬系統進行以下操作: 基於該圖案-周邊密度圖,識別該晶粒之具有一第一圖案-周邊密度的一第一區及該晶粒之具有不同於該第一圖案-周邊密度之一第二圖案-周邊密度的一第二區; 基於經識別之該第一區及該第二區預測一電位梯度;及 基於該電位梯度預測該帶電粒子之該第二特性。 38.      如條項37之系統,其中該處理器經組態以執行該指令集以進一步致使該電漿蝕刻模擬系統進行以下操作: 預測包含具有一高圖案-周邊密度之一密集區的該第一區之一第一電位;及 預測包含具有一較低圖案-周邊密度之一隔離區的該第二區之一第二電位,其中該第一電位與該第二電位不同。 39.      如條項36至38中任一項之系統,其中該處理器經組態以執行該指令集以進一步致使該電漿蝕刻模擬系統進行以下操作: 預測經識別之該第一區與該第二區之間的一蝕刻劑之一濃度梯度; 基於該濃度梯度預測該蝕刻劑之一擴散通量;及 基於該擴散通量預測該不帶電粒子之該第二特性。 40.      如條項39之系統,其中該處理器經組態以執行該指令集以進一步致使該電漿蝕刻模擬系統進行以下操作: 預測該第一區中之該蝕刻劑之一第一濃度;及 預測該第二區中之該蝕刻劑之一第二濃度,其中該第一濃度與該第二濃度不同。 41.      如條項34至40中任一項之系統,其中該處理器經組態以執行該指令集以進一步致使該電漿蝕刻模擬系統基於該晶粒之一圖案-周邊密度梯度及一高斯核心以該第二尺度預測該粒子之該第二特性,其中該高斯核心為包含範圍介於5 nm至50 μm之一長度尺度的一多長度尺度核心。 42.      一種非暫時性電腦可讀媒體,其儲存一指令集,該指令集可由一裝置之一或多個處理器執行以致使該裝置執行模擬一電漿蝕刻製程之一方法,該方法包含: 基於第一複數個參數以一第一尺度預測一電漿之一粒子之一第一特性; 基於由第二複數個參數引起的該第一特性之一修改而以一第二尺度預測該粒子之一第二特性;及 基於該粒子之該第一特性及該第二特性而模擬一特徵之一蝕刻特性。 43.      如條項42之非暫時性電腦可讀媒體,其中該指令集可由該裝置之該一或多個處理器執行以致使該裝置進一步執行以下操作: 基於該第一複數個參數以該第一尺度預測該電漿之一外鞘剖面; 判定該經預測外鞘剖面之一梯度;及 基於該經預測外鞘剖面之該梯度判定經導向一晶圓之該粒子之一入射角、一軌跡或一能量。 44.      如條項43之非暫時性電腦可讀媒體,其中該指令集可由該裝置之該一或多個處理器執行以致使該裝置進一步執行以下操作: 存取一晶粒之一佈局,該佈局包含一圖案-周邊密度圖;及 基於該圖案-周邊密度圖預測該電漿之該粒子之該第二特性,其中該粒子包含一帶電粒子或一不帶電粒子,且其中該第二特性包含該粒子之該入射角、該軌跡或該能量之一修改。 45.      如條項44之非暫時性電腦可讀媒體,其中該指令集可由該裝置之該一或多個處理器執行以致使該裝置進一步執行以下操作: 基於該圖案-周邊密度圖,識別該晶粒之具有一第一圖案-周邊密度的一第一區及該晶粒之具有不同於該第一圖案-周邊密度之一第二圖案-周邊密度的一第二區; 基於經識別之該第一區及該第二區預測一電位梯度;及 基於該電位梯度預測該帶電粒子之該第二特性。 46.      如條項45之非暫時性電腦可讀媒體,其中該指令集可由該裝置之該一或多個處理器執行以致使該裝置進一步執行以下操作: 預測經識別之該第一區與該第二區之間的一蝕刻劑之一濃度梯度; 基於該濃度梯度預測該蝕刻劑之一擴散通量;及 基於該擴散通量預測該不帶電粒子之該第二特性。 47.      如條項42至46中任一項之非暫時性電腦可讀媒體,其中該指令集可由該裝置之該一或多個處理器執行以致使該裝置進一步執行:基於該晶粒之一圖案-周邊密度梯度及一高斯核心以該第二尺度預測該粒子之該第二特性,其中該高斯核心為包含範圍介於5 nm至50 μm之一長度尺度的一多長度尺度核心。 48.      一種非暫時性電腦可讀媒體,其儲存一指令集,該指令集可由一裝置之一或多個處理器執行以致使該裝置執行模擬一電漿蝕刻製程之一方法,該方法包含: 獲取特徵之一第一影像; 基於圖案資訊識別該特徵; 預測待使用一電漿蝕刻製程來蝕刻之該特徵之一蝕刻剖面,該預測包含: 基於第一複數個參數以一第一尺度預測一電漿之一粒子之一第一特性;及 基於由第二複數個參數引起的該第一特性之一修改而以一第二尺度預測該粒子之一第二特性;及 產生包含該特徵之該經預測蝕刻剖面的一第二影像。 49.      如條項48之非暫時性電腦可讀媒體,其中該指令集可由該裝置之該一或多個處理器執行以致使該裝置進一步執行:自一使用者定義之資料庫獲取該第一影像,其中該使用者定義之資料庫包含一圖形資料庫系統。 50.      如條項48及49中任一項之非暫時性電腦可讀媒體,其中該圖案資訊包含圖案-周邊資訊,其中該指令集可由該裝置之該一或多個處理器執行以致使該裝置進一步執行:使用一機器學習網路比較該圖案-周邊資訊與來自一經訓練影像之一經訓練特徵。 51.      如條項48至50中任一項之非暫時性電腦可讀媒體,其中該指令集可由該裝置之該一或多個處理器執行以致使該裝置進一步執行以下操作: 基於該第一複數個參數以該第一尺度預測該電漿之一外鞘剖面; 判定該經預測外鞘剖面之一梯度;及 基於該經預測外鞘剖面之該梯度判定經導向一晶圓之該粒子之一入射角、一軌跡或一能量。 52.      如條項51之非暫時性電腦可讀媒體,其中該指令集可由該裝置之該一或多個處理器執行以致使該裝置進一步執行以下操作: 存取一晶粒之一佈局,該佈局包含一圖案-周邊密度圖;及 基於該圖案-周邊密度圖預測該電漿之該粒子之該第二特性,其中該粒子包含一帶電粒子或一不帶電粒子,且其中該第二特性包含該粒子之該入射角、該軌跡或該能量之一修改。 53.      如條項52之非暫時性電腦可讀媒體,其中該指令集可由該裝置之該一或多個處理器執行以致使該裝置進一步執行以下操作: 基於該圖案-周邊密度圖,識別該晶粒之具有一第一圖案-周邊密度的一第一區及該晶粒之具有不同於該第一圖案-周邊密度之一第二圖案-周邊密度的一第二區; 基於經識別之該第一區及該第二區預測一電位梯度;及 基於該電位梯度預測該帶電粒子之一經修改軌跡。 54.      如條項48至53中任一項之非暫時性電腦可讀媒體,其中該指令集可由該裝置之該一或多個處理器執行以致使該裝置進一步執行:基於該晶粒之一圖案-周邊密度梯度及一高斯核心以該第二尺度預測該粒子之該第二特性,其中該高斯核心為包含範圍介於5 nm至50 μm之一長度尺度的一多長度尺度核心。 55.      一種用於模擬一電漿蝕刻製程之方法,該方法包含: 以一第一尺度預測經組態以執行該電漿蝕刻製程之複數個腔室中之一腔室的一第一特性; 以一第二尺度預測該複數個腔室中之該腔室的一第二特性,其中該第一尺度包含該第二尺度;及 基於該腔室之該第一特性及該第二特性而模擬一特徵之一蝕刻特性。 56.      如條項55之方法,其進一步包含: 基於第一複數個參數以一第三尺度預測一電漿之一粒子之一第一特性; 基於由第二複數個參數引起的該粒子之該第一特性之一修改而以一第四尺度預測該粒子之一第二特性;及 基於該粒子之該第一特性及該第二特性而模擬該特徵之該蝕刻特性。 57.      如條項55及56中任一項之方法,其中該腔室之該第一特性包含一腔室狀態、一腔室類型或一腔室處理歷史,且其中該腔室之該第二特性包含一腔室壁條件、一腔室壓力或該腔室之一聚焦環之一特性。 58.      如條項57之方法,其進一步包含基於該聚焦環之該特性而模擬該特徵之該蝕刻特性,其中調整該聚焦環之該特性會調整該特徵之該經模擬蝕刻特性。 59.      如條項58之方法,其中調整該聚焦環之該特性包含調整該聚焦環之一位置或至該聚焦環之一所施加電壓。 60.      如條項55至59中任一項之方法,其中該第一尺度包含一廠房尺度,且該第二尺度包含一腔室尺度。 61.      一種電漿蝕刻模擬系統,其包含: 一記憶體,其儲存一指令集;及 一處理器,其經組態以執行該指令集以致使該電漿蝕刻模擬系統進行以下操作: 以一第一尺度預測經組態以執行該電漿蝕刻製程之複數個腔室中之一腔室的一第一特性; 以一第二尺度預測該複數個腔室中之該腔室的一第二特性,其中該第一尺度包含該第二尺度;及 基於該腔室之該第一特性及該第二特性而模擬一特徵之一蝕刻特性。 62.      如條項61之系統,其中該處理器經組態以執行該指令集以進一步致使該電漿蝕刻模擬系統進行以下操作: 基於第一複數個參數以一第三尺度預測一電漿之一粒子之一第一特性; 基於由第二複數個參數引起的該粒子之該第一特性之一修改而以一第四尺度預測該粒子之一第二特性;及 基於該粒子之該第一特性及該第二特性而模擬該特徵之該蝕刻特性。 63.      如條項61及62中任一項之系統,其中該腔室之該第一特性包含一腔室狀態、一腔室類型或一腔室處理歷史,且其中該腔室之該第二特性包含一腔室壁條件、一腔室壓力或該腔室之一聚焦環之一特性。 64.      如條項63之系統,其中該聚焦環之特性之一調整調整該特徵之該經模擬蝕刻特性。 65.      如條項64之系統,其中該聚焦環之該特性之該調整包含對該聚焦環之位置或至該聚焦環之所施加電壓的一調整。 66.      如條項63及64中任一項之系統,其中該聚焦環之該特性包含該聚焦環之一材料、一位置、一所施加電壓或一操作條件。
諸圖中之方塊圖說明根據本發明之各種例示性實施例之系統、方法及電腦硬體或軟體產品之可能實施的架構、功能性及操作。就此而言,流程圖或方塊圖中之每一區塊可表示程式碼之模組、片段或部分,其包含用於實施指定邏輯功能的一或多個可執行指令。應理解,在一些替代實施中,區塊中所指示之功能可不按圖中所提及之次序出現。舉例而言,視所涉及之功能性而定,連續展示的兩個區塊可實質上同時執行或實施,或兩個區塊有時可以相反次序執行。一些區塊亦可省略。亦應理解,方塊圖之每一區塊及該等區塊之組合可藉由執行指定功能或動作的基於專用硬體之系統,或藉由專用硬體及電腦指令之組合來實施。
應瞭解,本發明之實施例不限於已在上文所描述及在隨附圖式中所說明之確切構造,且可在不脫離本發明之範疇的情況下作出各種修改及改變。本發明已結合各種實施例進行了描述,藉由考慮本文中所揭示之本發明之規格及實踐,本發明之其他實施例對於熟習此項技術者將為顯而易見的。意欲本說明書及實例僅被視為例示性的,其中本發明之真正範疇及精神藉由以下申請專利範圍指示。
以上描述意欲為說明性,而非限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離下文所闡明之申請專利範圍之範疇的情況下如所描述進行修改。
100:電漿製程模擬系統 105:裝置 110:電漿製程腔室 120:氣體供應系統 140:真空系統 150:控制器 160:電力供應器 180:處理器 200:裝置 201:上部電極 202:氣體簇射頭 203:晶圓 204:載物台 205:聚焦環 206:聚焦環位置控制器 207:下部電極 208:電漿 210:電漿製程腔室/電漿蝕刻腔室 220:氣體供應系統 222:氣體源 223:氣流閥 224:氣體源 225:氣流閥 226:氣體源 227:氣流閥 228:氣體控制器 229:饋入線 230:載物台定位系統 240:真空系統 250:控制器 260a:功率產生器 260b:功率產生器 270:壓力感測器 300:多尺度電漿蝕刻模型 302:資料輸入模組 305:資料處理模組 308:後製程模組 310:晶圓尺度模型 312:資料輸入模組 315:子模型 318:子模型 320:晶粒尺度模型 330:特徵尺度模型 332:物理蝕刻模型 334:資料驅動蝕刻模型 403:晶圓 404:中心軸線 410:晶粒 410C:中心晶粒 420:電漿電位剖面 421:電漿鞘區 422:離子軌跡 423:離子軌跡 424:離子軌跡 425:蝕刻剖面 426:蝕刻剖面 427:蝕刻剖面 508:特徵 510:晶粒 522:密集區 524:隔離區 605:離子 608:特徵 610:晶粒 610a:初始離子軌跡 620a:最終離子軌跡 622:密集區 623:接面區 624:隔離區 708:特徵 722:密集區 724:隔離區 808:特徵 822:高圖案-周邊密度區 823:接面區 824:低圖案-周邊密度區 900:電漿模擬系統 910:晶圓尺度模型 920:晶粒尺度模型 930:訓練影像 940:機器學習網路 950:經訓練影像 1000:模擬方法 1010:步驟 1020:步驟 1030:步驟 1100:模擬方法 1110:步驟 1120:步驟 1130:步驟 1140:步驟 1200:多尺度物理蝕刻模型 1210:廠房尺度模型 1220:腔室尺度模型 1230:晶圓尺度模型 1240:晶粒尺度模型 1250:特徵尺度模型 d:距離 r:徑向距離 r':距離
1為說明符合本發明之實施例的例示性電漿製程模擬系統之示意圖。
2為說明符合本發明之實施例的經組態以用於執行電漿製程之例示性裝置的示意圖。
3說明符合本發明之實施例的多尺度電漿蝕刻模型之流程圖。
4A 4B分別說明符合本發明之實施例的經組態以曝露於電漿之晶圓的俯視圖及橫截面圖。
5說明符合本發明之實施例的位於距晶圓中心徑向距離r處的晶粒之示意圖。
6說明符合本發明之實施例的晶粒之密集區與隔離區之間的接面處之離子軌跡之偏差。
7A說明符合本發明之實施例的晶粒之密集區及隔離區之示意圖。
7B 7C說明符合本發明之實施例的晶粒之密集區及隔離區上之經模擬差分表面充電效應的標繪圖。
8A說明符合本發明之實施例的晶粒之區之示意圖,該區包含高圖案-周邊密度區、低圖案-周邊密度區及接面區。
8B說明符合本發明之實施例的晶粒之高圖案-周邊密度區上之經模擬離子角度分佈的繪圖。
9說明符合本發明之實施例的包含用於模擬電漿蝕刻製程之資料驅動模型的電漿模擬系統。
10說明符合本發明之實施例的用於模擬電漿蝕刻製程之例示性模擬方法的製程流程圖。
11說明符合本發明之實施例的用於模擬電漿蝕刻製程之例示性模擬方法的製程流程圖。
12說明符合本發明之實施例的例示性多尺度物理電漿蝕刻模型。
605:離子
608:特徵
610:晶粒
610a:初始離子軌跡
620a:最終離子軌跡
622:密集區
623:接面區
624:隔離區
d:距離

Claims (15)

  1. 一種非暫時性電腦可讀媒體,其上具有指令,該等指令在由一或多個處理器執行時致使該處理器執行預測一特徵之一影像之一方法,該方法包含: 獲取該特徵之一第一影像; 基於來自該第一影像之圖案資訊識別該特徵; 預測待使用一電漿蝕刻製程來蝕刻之該特徵之一蝕刻剖面,該預測包含: 基於第一複數個參數以一第一尺度預測一電漿之一粒子之一第一特性;及 基於由第二複數個參數引起的該第一特性之一修改而以一第二尺度預測該粒子之一第二特性;及 產生包含該特徵之該經預測蝕刻剖面的一第二影像。
  2. 如請求項1之媒體,其中該方法進一步包含自一使用者定義之資料庫獲取該第一影像,其中該使用者定義之資料庫包含一圖形資料庫系統。
  3. 如請求項1之媒體,其中該圖案資訊包含圖案-周邊資訊,其中識別該特徵包含使用一機器學習網路來比較該圖案-周邊資訊與來自一經訓練影像之一經訓練特徵。
  4. 如請求項1之媒體,其中該第一影像包含該特徵之一顯影後影像。
  5. 如請求項1之媒體,其中該第一尺度包含一晶圓尺度,且該第二尺度包含一晶粒尺度。
  6. 如請求項5之媒體,其中預測該蝕刻剖面進一步包含:基於該第一複數個參數以該晶圓尺度預測該電漿之一外鞘剖面。
  7. 如請求項6之媒體,其中預測該第一特性包含判定該經預測外鞘剖面之一梯度,且其中該第一特性包含經導向一晶圓之該粒子之一入射角、一軌跡或一能量。
  8. 如請求項7之媒體,其中該第一複數個參數包含經組態以執行該電漿蝕刻製程之一電漿反應器之幾何形狀、用於該電漿蝕刻製程之一製程條件或該晶圓上之一位置。
  9. 如請求項7之媒體,其中預測該第二特性包含:以該晶粒尺度預測該粒子之該入射角、該軌跡或該能量的一修改。
  10. 如請求項9之媒體,其中預測該第二特性進一步包含: 存取一晶粒之一佈局,該佈局包含一圖案-周邊密度圖;及 基於該圖案-周邊密度圖預測該粒子之該第二特性,其中該粒子包含一帶電粒子或一不帶電粒子。
  11. 如請求項10之媒體,其中預測該電漿之該帶電粒子之該第二特性進一步包含: 基於該圖案-周邊密度圖,識別該晶粒之具有一第一圖案-周邊密度的一第一區及該晶粒之具有不同於該第一圖案-周邊密度之一第二圖案-周邊密度的一第二區; 基於經識別之該第一區及該第二區預測一電位梯度;及 基於該電位梯度預測該帶電粒子之該第二特性。
  12. 如請求項11之媒體,其中預測該電位梯度包含: 預測包含具有一高圖案-周邊密度之一密集區的該第一區之一第一電位;及 預測包含具有一較低圖案-周邊密度之一隔離區的該第二區之一第二電位,其中該第一電位與該第二電位不同。
  13. 如請求項11之媒體,其中預測該電漿之該不帶電粒子之該第二特性包含: 預測經識別之該第一區與該第二區之間的一蝕刻劑之一濃度梯度; 基於該濃度梯度預測該蝕刻劑之一擴散通量;及 基於該擴散通量預測該不帶電粒子之該第二特性。
  14. 如請求項13之媒體,其中預測該濃度梯度包含: 預測該第一區中之該蝕刻劑之一第一濃度;及 預測該第二區中之該蝕刻劑之一第二濃度,其中該第一濃度與該第二濃度不同。
  15. 如請求項9之媒體,其進一步包含基於該晶粒之一圖案-周邊密度梯度及一高斯核心以該第二尺度預測該粒子之該第二特性,其中該高斯核心為包含範圍介於5 nm至50 μm之一長度尺度的一多長度尺度核心,且其中該第二複數個參數包含該晶粒之該佈局、一圖案-周邊密度、一圖案-周邊密度變化或該圖案-周邊密度梯度。
TW110131017A 2020-08-29 2021-08-23 多尺度物理蝕刻模型化及其方法 TWI791269B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP20193506.1A EP3961473A1 (en) 2020-08-29 2020-08-29 Multiscale physical etch modeling and methods thereof
EP20193506.1 2020-08-29

Publications (2)

Publication Number Publication Date
TW202226038A true TW202226038A (zh) 2022-07-01
TWI791269B TWI791269B (zh) 2023-02-01

Family

ID=72322290

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110131017A TWI791269B (zh) 2020-08-29 2021-08-23 多尺度物理蝕刻模型化及其方法

Country Status (6)

Country Link
US (1) US20230297757A1 (zh)
EP (1) EP3961473A1 (zh)
KR (1) KR20230054684A (zh)
CN (1) CN115989560A (zh)
TW (1) TWI791269B (zh)
WO (1) WO2022043408A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024017807A1 (en) * 2022-07-19 2024-01-25 Asml Netherlands B.V. Systems and methods for optimizing metrology marks

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10503863B2 (en) * 2017-08-30 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of manufacturing same
US11171089B2 (en) * 2018-10-31 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Line space, routing and patterning methodology

Also Published As

Publication number Publication date
CN115989560A (zh) 2023-04-18
KR20230054684A (ko) 2023-04-25
WO2022043408A1 (en) 2022-03-03
TWI791269B (zh) 2023-02-01
US20230297757A1 (en) 2023-09-21
EP3961473A1 (en) 2022-03-02

Similar Documents

Publication Publication Date Title
TWI428982B (zh) 可切換中性射束源
US7967995B2 (en) Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US7993937B2 (en) DC and RF hybrid processing system
US7743731B2 (en) Reduced contaminant gas injection system and method of using
US8343371B2 (en) Apparatus and method for improving photoresist properties using a quasi-neutral beam
KR101027183B1 (ko) 플라즈마 처리로부터 데이터를 분석하는 방법 및 시스템
US9583361B2 (en) Method of processing target object and plasma processing apparatus
US20100081285A1 (en) Apparatus and Method for Improving Photoresist Properties
US20060191482A1 (en) Apparatus and method for processing wafer
US20120252141A1 (en) Adaptive Recipe Selector
US7662646B2 (en) Plasma processing method and plasma processing apparatus for performing accurate end point detection
KR100969636B1 (ko) 통합 계측법 또는 독립형 계측법을 사용하여 웨이퍼균일성을 개선하기 위한 처리 제어
US10534355B2 (en) Information processing device, processing device, prediction method, and processing method
TWI791269B (zh) 多尺度物理蝕刻模型化及其方法
US20070045230A1 (en) Methods for independently controlling one or more etching parameters in the manufacture of microfeature devices
TW202332326A (zh) 具有體積減小和雙vhf的多相旋轉獨立氣體橫流的電漿腔室
CN107924855B (zh) 用于控制蚀刻工艺的系统及方法
JP7475193B2 (ja) プラズマ処理方法及びプラズマ処理装置
Gray Investigation Into the Manipulation of Non-uniformity and Undercut Features of a Positive Profile Through Silicon Via
TW202315467A (zh) 具有均勻調諧之多相旋轉交叉流的電漿腔室
KR20230011173A (ko) 정전 척 검사 장치 및 방법
Rashap Real-time feedback for etch rate and sidewall profile control in reactive ion etching
Das et al. Critical Dimension Control of Gate Layer Fabrication in MOSFET at 180nm Technological Node