CN106558517A - 衬底处理装置及半导体器件的制造方法 - Google Patents

衬底处理装置及半导体器件的制造方法 Download PDF

Info

Publication number
CN106558517A
CN106558517A CN201610079287.0A CN201610079287A CN106558517A CN 106558517 A CN106558517 A CN 106558517A CN 201610079287 A CN201610079287 A CN 201610079287A CN 106558517 A CN106558517 A CN 106558517A
Authority
CN
China
Prior art keywords
connecting rod
chamber
substrate
axle
end effector
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610079287.0A
Other languages
English (en)
Other versions
CN106558517B (zh
Inventor
高野智
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
INTERNATIONAL ELECTRIC CO Ltd
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Publication of CN106558517A publication Critical patent/CN106558517A/zh
Application granted granted Critical
Publication of CN106558517B publication Critical patent/CN106558517B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Abstract

目的在于提供一种即使在高温的衬底处理中也能维持高产能的衬底处理装置及半导体器件的制造方法。包括:机械装置,其具有:支承衬底的末端执行器;第一连杆构造,其由前端固定有末端执行器的固定部、支承固定部的支承部和设于支承部的第一孔构成;设有第二孔的第二连杆构造;以及轴,通过插入于第一孔及第二孔而将第一连杆构造及第二连杆构造连接,轴的上端位于与载置在末端执行器的衬底的高度相同或比其低;真空搬送室,在内侧具有所述机械装置;组件,具有多个腔室,所述腔室与所述真空搬送室相邻,对通过机械装置从真空搬送室搬送的衬底进行加热处理;以及冷却机构,设于第一连杆构造或轴的上方,对第一连杆构造或轴进行冷却。

Description

衬底处理装置及半导体器件的制造方法
技术领域
本发明涉及衬底处理装置及半导体器件的制造方法。
背景技术
例如,在对半导体衬底实施规定处理的半导体制造装置这一衬底处理装置中,对于从上位装置搬送来的衬底进行成膜处理、热处理等的组件。衬底的搬送由例如配设于真空搬送室的搬送机械装置进行。
在先专利文献
专利文献
专利文献1:日本特开2012―54536号公报
发明内容
在前述的装置中,要求以高产能形成高品质膜的性能。作为提供高品质膜的方法之一,认为有在高温下例如对衬底进行处理。然而,若重复处理,则衬底的温度积蓄到搬送机械装置,因此引起构成搬送机械装置的部件的变形等。部件的变形导致高频率的维护等,因此产能的降低成为问题。
本发明鉴于上述课题,其目的在于提供一种在高温的衬底处理中也能维持高产能的技术。
用于解决上述课题的手段
本发明的一方案中,提供如下的构造,包括:机械装置,其具有:支承衬底的末端执行器;第一连杆构造,其由前端固定有所述末端执行器的固定部、支承所述固定部的支承部和设于所述支承部的第一孔构成;设有第二孔的第二连杆构造;以及轴,通过所述轴插入于所述第一孔及所述第二孔而将所述第一连杆构造及所述第二连杆构造连接,所述轴的上端位于与载置在所述末端执行器的衬底的高度相同、或比其低;真空搬送室,在内侧具有所述机械装置;
组件,具有多个腔室,所述腔室与所述真空搬送室相邻,对通过所述机械装置从所述真空搬送室搬送的所述衬底进行加热处理;以及冷却机构,设于所述第一连杆构造或所述轴的上方,对所述第一连杆构造或所述轴进行冷却。
发明的效果
根据本发明,可提供一种在高温的衬底处理中也能维持高产能的技术。
附图说明
图1是表示本发明的实施方式涉及的衬底处理装置的构成例的水平剖视图。
图2是表示本发明的实施方式涉及的衬底处理装置的构成例的纵向剖视图。
图3是说明本发明的实施方式涉及的机械装置的构成的说明图。
图4是说明本发明的实施方式涉及的机械装置的构成的说明图。
图5是说明本发明的实施方式涉及的机械装置的构成的说明图。
图6是说明本发明的实施方式涉及的机械装置的构成的说明图。
图7是表示本发明的实施方式涉及的组件及其周边的构成的说明图。
图8是说明本发明的实施方式涉及的腔室及其周边构造的图。
图9是说明本发明的实施方式涉及的衬底处理流程的图。
图10是说明本发明的实施方式涉及的衬底处理流程的图。
图11是说明本发明的实施方式涉及的冷却机构的图。
图12是说明本发明的实施方式涉及的冷却机构的图。
图13是说明本发明的实施方式涉及的冷却机构的图。
图14是说明本发明的实施方式涉及的冷却机构的图。
图15是说明本发明的实施方式涉及的机械装置的构成的说明图。
图16是记录有与真空搬送模式/冷却模式对应的动作的表。
附图标记的说明
100···衬底处理装置
130···加载互锁室
140···真空搬送室
170···机械装置
180···臂
190···臂
200···晶片(衬底)
201a,201b,201c,201d···处理组件
202a,202b,202c,202d···腔室
203···搬送空间
232···缓冲空间
261,262,263,264···排气管
265···TMP(涡轮分子泵)
272···DP(干式泵)
具体实施方式
(第一实施方式)
以下,说明本发明的第一实施方式。
以下,说明本实施方式涉及的衬底处理装置。
(1)衬底处理装置的构成
使用图1、图2说明本发明的一实施方式涉及的衬底处理装置的概要构成。图1是表示本实施方式涉及的衬底处理装置的构成例的水平剖视图。图2是表示本实施方式涉及的衬底处理装置的构成例的图1的α-α’的纵向剖视图。
在图1及图2中,适用本发明的衬底处理装置100,对作为衬底的晶片200进行处理,主要由IO工作台110、大气搬送室120、加载互锁室130、真空搬送室140和组件201构成。接下来,具体说明各构成。在图1的说明中,关于前后左右,X1方向为右,X2方向为左,Y1方向为前,Y2方向为后。
(大气搬送室、IO工作台)
在衬底处理装置100的近前设有IO工作台(加载端口)110。在IO工作台110上搭载有多个晶盒(pot)111。晶盒111用作为搬送硅(Si)衬底等晶片200的载体,在晶盒111内,未处理的晶片200、处理完毕的晶片200分别以水平姿势保存多个。
在晶盒111设有罩体112,通过后述的晶盒开启器121而开闭。晶盒开启器121对载置于IO工作台110的晶盒111的罩体112进行开闭,将衬底出入口打开、封闭,由此能够实现晶片200相对于晶盒111的出入。晶盒111通过未图示的AMHS(Automated MaterialHandling Systems,自动晶片搬送系统)而相对于IO工作台110供给及排出。
IO工作台110与大气搬送室120相邻。大气搬送室120在不同于IO工作台110的面连结后述的加载互锁室130。
在大气搬送室120内设有移送晶片200的大气搬送机械装置122。如图2所示,大气搬送机械装置122构成为通过设置于大气搬送室120的升降机123进行升降,并构成为通过线性执行机构124而在左右方向往返移动。
在大气搬送室120的上部设有供给清洁空气的清洁单元125。在大气搬送室120的左侧设有与形成于晶片200的槽口或校准平面对准的装置(以下,记作预对准器)126。
在大气搬送室120的壳体127的前侧设有用于对大气搬送室120搬入搬出晶片200的衬底搬入搬出口128、晶盒开启器121。在隔着衬底搬入搬出口128与晶盒开启器121相反的一侧,即壳体127的外侧,设有IO工作台(加载端口)110。
在大气搬送室120的壳体127的后侧,设有用于将晶片200向加载互锁室130搬入搬出的衬底搬入搬出口129。衬底搬入搬出口129由闸阀133打开、封闭,由此能够进行晶片200的出入。
(加载互锁室)
加载互锁室130与大气搬送室120相邻。在构成加载互锁室130的壳体131所具有的面中、不同于大气搬送室120的面,如后所述,配置有真空搬送室140。由于壳体131内的压力与大气搬送室120的压力和真空搬送室140的压力相应地发生变动,因此加载互锁室130构成为可耐负压的构造。
在壳体131中的与真空搬送室140相邻的一侧设有衬底搬入搬出口132。衬底搬入搬出口132由闸阀134打开、封闭,由此可进行晶片200的出入。
而且,在加载互锁室130内,设有至少具有2个载置面135的衬底载置台136,载置面135用于载置晶片200。衬底载置面135之间的距离根据后述的机械装置170的臂所具有的末端执行器间的距离而设定。
(真空搬送室)
衬底处理装置100包括作为搬送室的真空搬送室(传输组件)140,其成为在负压下搬送晶片200的搬送空间。构成真空搬送室140的壳体141俯视下形成为五角形,在五角形的各边连结加载互锁室130及处理晶片200的组件201a~201d。在真空搬送室140的大致中央部,设有作为在负压下移送(搬送)晶片200的搬送机械装置的机械装置170,机械装置170以该凸缘144为基部地设置。
在壳体141的侧壁中的与加载互锁室130相邻的一侧设有衬底搬入搬出口142。衬底搬入搬出口142由闸阀134打开、封闭,由此能进行晶片200的出入。
在构成壳体141的顶部的壁、且是臂180的水平方向上的工作区域的上方,埋入有作为冷却机构而构成的冷却板143。冷却板143设为可覆盖臂180的可动范围的大小。优选是,在水平方向,至少设置在从臂180的轴188到后述的腔室202的衬底搬入搬出口148之间。而且更优选是,在后述的冷却模式的状态下,设置在机械装置170所具有的臂180的末端执行器181(182)、第一连杆构造183所配置区域的上方。
如后所述,随着衬底处理装置的高产能化,在腔室202实施了处理的晶片200有时被以高温状态直接向真空搬送室140内搬送。在这种情况下也能由冷却板143冷却机械装置170的部件,因此不会使搬送产能降低。
设置于真空搬送室140内的真空搬送机械装置170被构成为能够通过升降机145及凸缘144而在维持真空搬送室140的气密性的同时进行升降。机械装置170所具有的2个臂180、190被构成为可升降。另外,在图2中,为了便于说明,显示臂180、臂190的末端执行器,省略了作为其他构造的第一连杆构造等。关于臂180、190的详情将后述。
在壳体141的顶部、不同于冷却板143的部位,设有用于向壳体141内供给导热气体的导热气体供给孔146。在导热气体供给孔146设有导热气体供给管151。在导热气体供给管151,从上游起依次设有导热气体源152、质量流量控制器153、阀154,控制向壳体141内供给的导热气体的供给量。导热气体使用对形成于晶片200上的膜无影响、且导热率高的气体。例如使用氦气(He)、氮气(N2)、氢气(H2)。
主要由导热气体供给管151、质量流量控制器153和阀154构成真空搬送室140中的导热气体供给部150。需要说明的是,可以将导热气体源152、气体供给孔146包含于非活性气体供给部。
导热气体供给部150与控制器280电连接。在图2中,与控制器的连接用虚线箭头表示。更具体而言,控制器280电连接于质量流量控制器153和阀154,根据控制器280的指示来控制质量流量控制器153和阀154。
在壳体141的底壁设有用于将壳体141内的气氛排出的排气孔147。在排气孔147设有排气管161。在排气管161,从上游起依次设有作为压力控制器的APC(Auto Pressure Controller,自动压力控制器)162、泵163。
主要由排气管161、APC162构成真空搬送室140中的气体排出部160。需要说明的是,可以将泵163、排气孔147包含于气体排出部。
通过气体供给部150和气体排出部160的协作控制真空搬送室140的气氛。例如,控制壳体141内的压力。
气体排出部160与控制器280电连接。在图2中,与控制器的连接用虚线箭头表示。更具体而言,控制器280电连接于APC162和阀163,根据控制器280的指示来控制APC162和阀163。
在排气管161设有压力检测机构301,检测真空搬送室140内的压力。在压力检测机构301连接有压力监测部302,监测由压力检测机构301检测到的压力值。压力监测部302与控制器280电连接,将监测到的压力值发送给控制器280。
如图1所示,在壳体141的五片侧壁中的未设置加载互锁室130的一侧,连结有对晶片200进行所希望的处理的组件(工艺组件)201a、201b、201c、201d。
在组件201a、201b、201c、201d分别设有腔室202。具体而言,在组件201a设有腔室202a(1)、202a(2)。在组件201b设有腔室202b(1)、202b(2)。在组件201c设有腔室202c(2)、202c(2)。在组件201d设有腔室202d(1)、202d(2)。
设于组件201的2个腔室202为使后述的处理空间205的气氛不混合而在腔室202之间设有隔壁204,各腔室成为独立的气氛。
在壳体141的侧壁中的面向各腔室的壁设有衬底搬入搬出口148。例如,如图2所示,在面向腔室202c(1)的壁设有衬底搬入搬出口148c(1)。
图2中,在将腔室202c(1)置换为腔室202a(1)的情况下,在面向腔室202a(1)的壁设有衬底搬入搬出口148a(1)。
同样,在将腔室202c(1)置换为腔室202a(2)的情况下,在面向腔室202a(2)的壁设有衬底搬入搬出口148a(2)。
在将腔室202c(1)置换为腔室202b(1)的情况下,在面向腔室202b(1)的壁设有衬底搬入搬出口148b(1)。
在将腔室202c(1)置换为腔室202b(2)的情况下,在面向腔室202b(2)的壁设有衬底搬入搬出口148b(2)。
在将腔室202c(1)置换为腔室202c(2)的情况下,在面向腔室202c(2)的壁设有衬底搬入搬出口148c(2)。
在将腔室202c(1)置换为腔室202d(1)的情况下,在面向腔室202d(1)的壁设有衬底搬入搬出口148d(1)。
在将腔室202c(1)置换为腔室202d(2)的情况下,在面向腔室202d(2)的壁设有衬底搬入搬出口148d(2)。
如图1所示,闸阀149按各腔室202设置。具体而言,在腔室202a(1)设有闸阀149a(1),在腔室202a(2)设有闸阀149a(2)。在腔室202b(1)设有闸阀149b(1),在腔室202b(2)设有闸阀149b(2)。在腔室202c(1)设有闸阀149c(1),在腔室202c(2)设有闸阀149c(2)。在腔室202d(1)设有闸阀149d(1),在腔室202d(2)设有闸阀149d(2)。
通过由各闸阀149打开、封闭,由此可进行经由衬底搬入搬出口148的晶片200的出入。
在壳体141还设有温度传感器164。温度传感器164检测后述的机械装置170的臂180、尤其第一连杆构造183、轴184或末端执行器181、182的温度。在温度传感器164连接有温度监测部165。温度监测部165与控制器280电连接,将检测到的温度信息发送给控制器280。
接着,使用图3~图6说明搭载于真空搬送室140的机械装置170。图3是放大图1的机械装置170的图,图4是从侧方观察图2的机械装置170的臂180的图。图5是将图4的包括末端执行器及第一连杆构造183在内的一部分189放大的图。图6是从侧方观察图2的机械装置170的臂190的图。另外,臂180和臂190相邻设置,但为了便于说明,在图4中,省略臂190,在图6中省略臂180。
机械装置170具有2个臂180和臂190。
作为第一臂的臂180主要具有末端执行器181、末端执行器182、第一连杆构造183、第二连杆构造185、第三连杆构造187和用于连接它们的轴。
第一连杆构造183也称为叉部,具有多个将末端执行器181和末端执行器182固定的固定部183a,并具有支承所述多个固定部183a的支承部183b。在支承部183b设有作为第一孔的轴孔,轴184贯穿该轴孔。固定末端执行器181、末端执行器182时,以末端执行器181、末端执行器182的前端朝向相同方向的方式固定。
在末端执行器181、末端执行器182,载置经腔室202处理后的处理完毕的晶片200。
第二连杆构造185的两端设有轴孔。在作为第二孔的一端的轴孔贯穿有轴184,在作为第三孔的另一端的轴孔贯穿有轴186。第三连杆构造187的两端设有轴孔。在一端的轴孔贯穿有轴186,另一端固定于轴181。轴188以可旋转的状态固定于凸缘144。
如图5所示,轴184的前端184a贯穿第一连杆构造183。由于为了支承第一连杆构造183的机械强度问题,轴184的前端184a突出。轴184的前端184a的高度优选是设为比载置在末端执行器181(182)的晶片200的高度低了高度h1、或与其相同高度。此外,如图15所示,在末端执行器181(182)的上端的高度高于前端184a的情况下,优选是比末端执行器181(182)的上端的高度低了h2、或与其相同高度。如此,前端184a不会干扰,能够使末端执行器181、第一连杆构造183接近冷却板143。因而,能够提高末端执行器181(182)、第一连杆构造183的冷却效率。另外,在此说明了末端执行器182,但关于末端执行器181也是同样。
在升降机145内,内置有控制臂180的升降、旋转的臂控制部171。臂控制部171主要包括支承轴188的支承轴171a;使支承轴171a升降或旋转的作动部171b。在凸缘144中的支承部188与支承部171a之间设有孔,支承部171a直接支承支承部188。作动部171b包括例如用于实现升降的包括电动机的升降机构171c、用于使支承轴171a旋转的齿轮等旋转机构171d。另外,在升降机145内,作为臂控制部171的一部分,可以设置用于对作动部171b指示升降、旋转支承的指示部171e。指示部171e与控制器280电连接。指示部171e基于控制器280的指示来控制作动部171b。
作为第二臂的臂190主要包括末端执行器191、末端执行器192、第一连杆构造193、第二连杆构造195、第三连杆构造197和用于连接它们的轴。
第一连杆构造193也称为叉部,具有多个分别固定末端执行器191和末端执行器192的固定部193a,并且具有支承所述多个固定部193a的支承部193b。在支承部193b设有轴孔,轴194贯穿于该轴孔。在固定末端执行器191、末端执行器192时,以使末端执行器191、末端执行器192的前端朝向相同方向的方式固定。
在末端执行器191、末端执行器192载置从加载互锁室130搬出的未处理的晶片200。
第二连杆构造195的两端设有轴孔。在一端的轴孔贯穿有轴194,在另一端贯穿有轴196。第三连杆构造197的两端设有轴孔。在一端的轴孔贯穿有轴196,另一端固定于轴198。轴198以可旋转的状态固定于凸缘144。
在升降机145内,内置有控制臂190的升降、旋转的臂控制部172。臂控制部172主要包括支承轴198的支承轴172a;使支承轴172a升降或旋转的作动部172b。在凸缘144中的支承部198与支承部172a之间设有孔,支承部172a直接支承支承部198。作动部172b包括例如用于实现升降的包括电动机的升降机构172c、用于使支承轴172a旋转的齿轮等旋转机构172d。另外,在升降机145内,作为臂控制部172的一部分,可以设置用于对作动部172b指示升降、旋转支承的指示部172e。指示部172e与控制器280电连接。指示部172e基于控制器280的指示来控制作动部172b。
末端执行器181、末端执行器182位于比末端执行器191、末端执行器192更高的位置。
臂180、臂190能够以轴为中心而旋转、延伸。通过进行旋转、延伸,由此向腔室202内搬送晶片200,或从腔室202内搬出晶片200。
(组件)
接着,以图1、图2、图7为例说明组件201。图7是图1的β-β’的剖视图,是说明组件201和组件201中与气体供给部、气体排出部的关联的说明图。
组件201由壳体203构成。具体而言,组件201a由壳体203a构成,组件201b由壳体203b构成,组件201c由壳体203c构成,组件201d由壳体203d构成。
在构成腔室202a(1)的壁中的、腔室202a(1)和真空搬送室140相邻的壁设有衬底搬入搬出口148a(1)。在其他组件也同样,在腔室202a(2)与真空搬送室140相邻的壁设有衬底搬入搬出口148c(2)。在腔室202b(1)与真空搬送室140相邻的壁设有衬底搬入搬出口148b(1)。在腔室202b(2)与真空搬送室140相邻的壁设有衬底搬入搬出口148b(2)。在腔室202c(1)与真空搬送室140相邻的壁设有衬底搬入搬出口148a(1)。在腔室202c(2)与真空搬送室140相邻的壁设有衬底搬入搬出口148c(2)。在腔室202d(1)与真空搬送室140相邻的壁设有衬底搬入搬出口148d(1)。在腔室202d(2)与真空搬送室140相邻的壁设有衬底搬入搬出口148d(2)。
以下,以组件201c为例说明组件的具体构造,其他组件201a、组件201b、组件201d中也是同样的构造。因此,在此省略说明。
如图7所示,在壳体203c设有对晶片200进行处理的腔室202c(1)和腔室202c(2)。在腔室202c(1)与腔室202c(2)之间设有隔壁204c。通过这样设置,将腔室202c(1)内的气氛与腔室202c(2)内的气氛隔离。
在各腔室202的内侧设有支承晶片200的衬底支承部210。
在组件201c,设有向腔室202c(1)和腔室202c(2)供给处理气体的气体供给部310。气体供给部310具备气体供给管311。在气体供给管311,如后所述,自上游起设有气体供给源、质量流量控制器和阀。在图7中,将气体供给管、质量流量控制器和阀统称为气体供给构造312。
气体供给管311在阀314的下游分支为两个,各自的前端分别与腔室202c(1)的气体供给孔321和腔室202c(2)的气体供给孔322连接。
在组件201c设有从腔室202c(1)和腔室202c(2)排出气体的气体排出部340。构成气体排出部340的排气管包括:设于腔室202c(1)的排气孔331的排气管341、设于腔室202c(2)的排气孔332的排气管342、排气管341和排气管342合流的合流管343。在合流管343,自上游起设有压力调整器344和泵345,通过与气体供给部310的协作来调整各腔室内的压力。
(腔室)
接着,使用图8说明腔室202及其周边构造。如图1、图7所示,腔室202具有相邻的腔室,但在此为了便于说明,省略相邻的腔室。
(腔室)
组件201具备图8所示的腔室202。腔室202构成为例如横截面为圆形、扁平的密闭容器。此外,腔室202例如由铝(Al)、不锈钢(SUS)等金属材料构成。在腔室202内形成有:对作为衬底的硅晶片等晶片200进行处理的处理空间205;在将晶片200搬送至处理空间205时供晶片200通过的搬送空间206。腔室202由上部容器202a和下部容器202b构成。在上部容器202a与下部容器202b之间设有分隔板208。
在下部容器202b的侧面设置有与闸阀149相邻的衬底搬入搬出口148,晶片200经由衬底搬入搬出口148在下部容器202b与未图示的搬送室之间移动。在下部容器202b的底部设置有多个提升销207。而且,下部容器202b接地。
闸阀149具有阀体149a和驱动体149b。阀体149a固定于驱动体149b的一部分。打开闸阀149时,驱动体149b以从腔室202离开的方式进行动作,使阀体149a从腔室202的侧壁分离。在关闭闸阀时,驱动体149b朝向腔室202动作,以将阀体149a压靠于腔室202的侧壁的方式关闭。
在处理空间205内内设置有支承晶片200的衬底支承部210。衬底支承部210主要具有载置晶片200的载置面211、表面具有衬底载置面211的衬底载置台212、和内置于衬底载置台212的作为加热源的加热器213。在衬底载置台212上与提升销207相对应的位置,分别设置有供提升销207贯通的贯通孔214。
衬底载置台212由轴217支承。轴217的支承部贯穿设于腔室202底壁的孔215,进而经由支承板216在腔室202的外部与升降机构218连接。通过使升降机构218工作而使轴217及衬底载置台212升降,从而能够使载置在衬底载置面211上的晶片200升降。需要说明的是,轴217下端部的周围由波纹管219覆盖,腔室202内保持气密。
衬底载置台212在搬送晶片200时,下降至衬底载置面211与衬底搬入搬出口148相对的位置,在处理晶片200时,如图8所示,上升直至晶片200达到处理空间205内的处理位置。
具体而言,在使衬底载置台212下降至晶片搬送位置时,使提升销207的上端部从衬底载置面211的上表面突出,使提升销207从下方支承晶片200。此外,在使衬底载置台212上升至晶片处理位置时,使提升销207从衬底载置面211的上表面没入,使衬底载置面211从下方支承晶片200。需要说明的是,由于提升销207与晶片200直接接触,所以由例如石英、氧化铝等材质形成是理想的。
在处理空间205的上部(上游侧)设有作为气体分散机构的簇射头230。在簇射头230的盖231设有供第一分散机构241插入的贯通孔231a。第一分散机构241具有插入于簇射头内的前端部241a和固定于盖231的凸缘241b。
前端部241a为柱状,例如构成为圆柱状。在圆柱的侧面设有分散孔。从后述的腔室的气体供给部(供给系统)供给的气体经由前端部241a被供给到缓冲空间232。
簇射头230具有用于使气体分散的作为第二分散机构的分散板234。该分散板234的上游侧为缓冲空间232,下游侧为处理空间205。在分散板234设有多个贯通孔234a。分散板234被配置成与衬底载置面211相对。
在盖231设有对簇射头230加热的簇射头加热部231b。簇射头加热部231b被加热到使供给到缓冲空间232的气体不再液化的温度。例如控制为加热到100℃左右。
分散板234例如构成为圆盘状。贯通孔234a在分散板234的整面范围设置。相邻的贯通孔234a例如以等距离配置,配置于最外周的贯通孔234a配置在比载置于衬底载置台212上的晶片的外周还靠外侧。
而且,具有将从第一分散机构241供给的气体导向到分散板234的气体引导件235。气体引导件235形成为随着朝向分散板234而直径扩大的形状,气体引导件235的内侧构成为锥体形状(例如圆锥状,也称为锤状)。气体引导件235的下端位于比形成在分散板234的最外周侧的贯通孔234a更靠外周侧的位置。
上部容器202a具有凸缘,在凸缘上载置并固定有支承块233。支承块233具有凸缘233a,在凸缘233a上载置并固定分散板234。而且,盖231固定于支承块233的上表面。通过这样的构造,能够从上方依次取下盖231、分散板234、支承块233。
(供给部)
在此说明的腔室202的供给部与图7的气体供给部310同样结构,进一步详细说明与一个腔室对应的结构。
在设于簇射头230的盖231的气体导入孔231a(相当于图7的气体供给孔321或322)设有也作为腔室侧的气体供给管的第一分散机构241。在第一分散机构241连接有共通气体供给管242。该第一分散机构241、共通气体供给管242相当于图7的气体供给管311。
在第一分散机构241设有凸缘,通过螺钉等固定于盖231和/或共通气体供给管242的凸缘。
第一分散机构241和共通气体供给管242在管的内部连通,从共通气体供给管242供给的气体经由第一分散机构241、气体导入孔231a而供给到簇射头230内。
在共通气体供给管242连接有第一气体供给管243a、第二气体供给管244a和第三气体供给管245a。第二气体供给管244a与共通气体供给管242连接。
从包括第一气体供给管243a的第一气体供给系统243主要供给含有第一元素气体,从包括第二气体供给管244a的第二气体供给系统244主要供给含有第二元素气体。
(腔室的第一气体供给系统)
在第一气体供给管243a,自上游方向起依次设有第一气体供给源243b、作为流量控制器(流量控制部)的质量流量控制器(MFC)243c及作为开闭阀的阀243d。
从第一气体供给管243a经由质量流量控制器243c、阀243d和共通气体供给管242向簇射头230供给含有第一元素的气体(以下,记作“含有第一元素气体”)。
含有第一元素气体是原料气体,即处理气体之一。在此,第一元素例如是硅(Si)。即,含有第一元素气体例如是含硅气体。具体而言,作为含硅气体,使用二氯硅烷(SiH2Cl2。也称为DCS)气体。
需要说明的是,含有第一元素的气体在常温常压下可以为固体、液体及气体中的任一种。含有第一元素的气体在常温常压下为液体时,在第一气体供给源243b与质量流量控制器243c之间设置未图示的气化器即可。此处以气体的形式进行说明。
在第一气体供给管243a的比阀243d更靠近下游的一侧,连接有第一非活性气体供给管246a的下游端。在第一非活性气体供给管246a上,自上游方向依次设置有非活性气体供给源246b、作为流量控制器(流量控制部)的质量流量控制器(MFC)246c、及作为开闭阀的阀246d。
此处,非活性气体例如为氮气(N2)。需要说明的是,作为非活性气体,除N2气外,还可使用例如氦气(He)、氖气(Ne)、氩气(Ar)气体等稀有气体。
含有第一元素气体供给系统243(也称为含硅气体供给系统)主要由第一气体供给管243a、质量流量控制器243c、阀243d构成。
此外,第一非活性气体供给系统主要由第一非活性气体供给管246a、质量流量控制器246c及阀246d构成。需要说明的是,在第一非活性气体供给系统内可以包括非活性气体供给源246b、第一气体供给管243a。
进而,在含有第一元素气体供给系统243内可以包括第一气体供给源243b、第一非活性气体供给系统。
(腔室的第二气体供给系统)
在第二气体供给管244a,从上游方向依次设置有第二气体供给源244b、作为流量控制器(流量控制部)的质量流量控制器(MFC)244c、及作为开闭阀的阀244d。
从第二气体供给管244a,将含有第二元素的气体(以下称为“含有第二元素气体”)经由质量流量控制器244c、阀244d、共通气体供给管242供给至簇射头230内。
含有第二元素气体为处理气体之一。需要说明的是,含有第二元素气体可以为反应气体或改质气体。
此处,含有第二元素气体含有与第一元素不同的第二元素。作为第二元素例如为氧(O)、氮(N)、碳(C)中的任一种。在本实施方式中,设含有第二元素气体例如为含氮气体。具体而言,作为含氮气体,可使用氨气(NH3)。
含有第二元素气体供给系统244(也称为含氮气体供给系统)主要由第二气体供给管244a、质量流量控制器244c、阀244d构成。
此外,在第二气体供给管244a的比阀244d更靠近下游的一侧,连接有第二非活性气体供给管247a的下游端。在第二非活性气体供给管247a上,从上游方向依次设置有非活性气体供给源247b、作为流量控制器(流量控制部)的质量流量控制器(MFC)247c、及作为开闭阀的阀247d。
从第二非活性气体供给管247a,将非活性气体经由质量流量控制器247c、阀247d、第二气体供给管244a供给至簇射头230内。非活性气体在薄膜形成工序(S104)中作为载气或稀释气体发挥作用。
第二非活性气体供给系统主要由第二非活性气体供给管247a、质量流量控制器247c及阀247d构成。需要说明的是,在第二非活性气体供给系统内可以包括非活性气体供给源247b、第二气体供给管244a。
进而,在含有第二元素气体供给系统244内可以包括第二气体供给源247b、第二非活性气体供给系统。
(腔室的第三气体供给系统)
在第三气体供给管245a上,从上游方向依次设置有第三气体供给源245b、作为流量控制器(流量控制部)的质量流量控制器(MFC)245c、及作为开闭阀的阀245d。
从第三气体供给管245a,将作为吹扫气体的非活性气体经由质量流量控制器245c、阀245d、共通气体供给管242供给至簇射头230。
此处,非活性气体例如为氮气(N2)。需要说明的是,作为非活性气体,除N2气外,还可使用例如氦气(He)、氖气(Ne)、氩气(Ar)等稀有气体。
第三气体供给系统245主要由第三气体供给管245a、质量流量控制器245c、阀245d构成。
在衬底处理工序中,从第三气体供给管245a,将非活性气体经由质量流量控制器245c、阀245d、共通气体供给管242供给至簇射头230内。
在衬底处理工序中,从非活性气体供给源245b供给的非活性气体作为吹扫积存于腔室202、簇射头230内的气体的吹扫气体发挥作用。
(排气部)
排气部是相当于图7的排气部340的结构。
对腔室202的气氛进行排气的排气系统具有连接于腔室202的多个排气管。具体而言,包括:连接于缓冲空间232的排气管(第1排气管)263、连接于处理空间205的排气管(第2排气管)262、连接于搬送空间206的排气管(第3排气管)261。此外,在各排气管261、262、263的下游侧连接有排气管(第4排气管)264。
排气管261设置在搬送空间206的侧面或底面。在排气管261设有泵265(TMP,Turbo Morecular Pump)。在排气管261,在泵265的上游侧设有作为搬送空间用第一排气阀的阀266。
排气管262设于处理空间205的侧方。在排气管262设有将处理空间205内控制为规定压力的作为压力控制器的APC(Auto PressureController)276。APC276具有可调节开度的阀体(未图示),根据来自后述的控制器的指示来调整排气管262的流导。此外,在排气管262,在APC276的上游侧设有阀275。将排气管262和阀275、APC276统称为处理室排气部。
排气管263连接于与处理空间205侧的面不同的面。在高度方向上,连接于分散孔234a与气体引导件235的下端之间。在排气管263具有阀279。将排气管263和阀279统称为簇射头排气部。
在排气管264设有DP(Dry Pump,干式泵)278。如图所示,在排气管264,从其上游侧起连接有排气管263、排气管262、排气管261,进而在它们的下游设有DP278。DP278分别经由排气管262、排气管263、排气管261而将缓冲空间232、处理空间205及搬送空间206各自的气氛排出。此外,在TMP265工作时,DP278也作为其辅助泵发挥作用。即,作为高真空(或超高真空)泵的TMP265难以单独进行达到大气压的排气,因此作为进行达到大气压的排气的辅助泵而使用DP278。在上述的排气系统的各阀例如使用空气阀。
(控制器)
如图1所示,衬底处理装置100具有对衬底处理装置100的各部的动作进行控制的控制器280。控制器280至少具有运算部281、存储部282、发送接收部284、比较部285。控制器280与上述各结构连接,根据上位控制器、使用者的指示从存储部282调出程序、制程,并根据其内容控制各结构的动作。例如如图16所示,表是比较了温度信息和控制参数的表格。需要说明的是,控制器280既可以作为专用的计算机而构成,也可以作为通用的计算机而构成。例如,可以通过准备存储有上述程序的外部存储装置(例如,磁带、软盘、硬盘等磁盘;CD、DVD等光盘;MO等光磁盘;USB存储器(USB FlashDrive)、存储卡等半导体存储器)283,并使用外部存储装置283将程序安装于通用的计算机,从而构成本实施方式的控制器280。此外,用于向计算机供给程序的手段不限于经由外部存储装置283进行供给的情形。例如,可以不经由外部存储装置283,而使用互联网、专用线路等通信手段来供给程序。需要说明的是,存储部282、外部存储装置283以计算机可读取的记录介质的形式构成。以下,也将它们仅仅统称为记录介质。需要说明的是,本说明书中使用术语记录介质时,有时仅单独包含存储部282,有时仅单独包含外部存储装置283,或者有时包含上述两者。发送接收部284接收与其他结构的信息。例如从温度监测部165接收温度。比较部285比较从存储部282读取的表等的信息与从其他结构接收的信息,提取用于控制的参数等。例如,比较从温度监测部165接收的信息和记录于存储部的表,提取用于使机械装置170动作的参数。
接着,使用图16说明存储于存储部282的表。存在多个表。在表1中,将真空搬送模式、冷却模式的各模式与导热气体供给部150、真空搬送室140内的压力之间的关系表格化。例如在真空搬送模式时,导热气体供给部不向真空搬送室140内供给导热气体,并且使压力的范围为作为搬送时的压力的α范围。此外,在冷却模式时,导热气体供给部向真空搬送室140内供给导热气体,并使真空搬送室140的压力范围为β。
在表2中,将真空搬送模式、冷却模式的各模式与导热气体供给部150、真空搬送室140内的压力、臂位置之间的关系表格化。例如在真空搬送模式时,导热气体供给部不向真空搬送室140内供给导热气体,并且使压力的范围为作为搬送时的压力的α范围。而且将臂位置维持在搬送位置。此外,在冷却模式时,导热气体供给部向真空搬送室140内供给导热气体,并使真空搬送室140的压力范围为β。
在表3中,将真空搬送模式、冷却模式的各模式与臂180的温度之间的关系表格化。例如,在臂180的温度为T1时设为真空搬送模式,在臂180的温度为T2时设为冷却模式。
在表4中,将臂180的晶片的搬送次数与真空搬送模式、冷却模式之间的关系表格化。例如在晶片的搬送次数为N次以下时设为真空搬送模式,在晶片的搬送次数多于N次时设为冷却模式。
运算部281能够适当选择这些表。
<衬底处理工序>
接着,说明使用衬底处理装置100在晶片200上形成薄膜的工序。需要说明的是,在以下的说明中,构成衬底处理装置100的各部分的动作由控制器280控制。
(从大气搬送室向加载互锁室的搬送工序)
例如在25片未处理的晶片200收纳于晶盒111的状态下,通过工序内搬送装置将其搬送到实施加热处理工序的衬底处理装置。如图1及图2所示,搬送来的晶盒111被从工序内搬送装置交接并载置到IO工作台110上。晶盒111的罩体112被晶盒开启器121取下,晶盒111的衬底出入口敞开。
当晶盒111被晶盒开启器121打开时,设置于大气搬送室120的大气搬送机械装置122从晶盒111拾取晶片200而搬入到加载互锁室130内,将晶片200移送到衬底载置台136。在该移送作业中,加载互锁室130的真空搬送室140侧的闸阀134关闭,真空搬送室140内的压力维持。真空搬送室140的压力例如为0.1333Pa(1Torr),调整为真空搬送模式的压力。真空搬送模式是搬送晶片200时的模式。
在2片晶片200被移送到衬底载置面135上后,闸阀133关闭,通过排气装置(未图示)将加载互锁室130内排气成负压。
(从加载互锁室向真空搬送室的搬送工序)
当加载互锁室130内达到预先设定的压力值时,闸阀134打开,加载互锁室130与真空搬送室140连通。此时,真空搬送室140的压力维持真空搬送模式时的压力。
接着,机械装置170从加载互锁室130内向真空搬送室140内搬入晶片200。具体而言,通过机械装置170所具有的臂180、190中的搬送未处理晶片200的臂190,使用水平移动、旋转移动、升降移动的功能,从衬底载置台136拾取2片晶片200,搬入到真空搬送室140内。此时,在末端执行器191、末端执行器192分别载置晶片200。晶片200被搬入到真空搬送室140内,闸阀134关闭后,例如闸阀149c(1)及闸阀149c(2)打开,真空搬送室140与腔室202c(1)、腔室202c(2)连通。
在此,说明将晶片200向腔室202c(1)、腔室202c(2)内的搬入、伴随加热处理的衬底处理、将晶片200向腔室202c(1)、腔室202c(2)内的搬出所涉及的机械装置170的动作。
(从真空搬送室向腔室的搬入工序)
首先,机械装置170将搭载有晶片200的末端执行器191、末端执行器192从真空搬送室140内分别搬入腔室202c(1)、腔室202c(2)内。其后,在各腔室202,通过与腔室202内的提升销207、衬底载置台212的协作,在衬底载置面211载置晶片200。
载置晶片200后,使臂190的末端执行器191、末端执行器192向腔室202a外退避。接着,关闭闸阀149c(1)、闸阀149c(2)。其后,在各个腔室202使衬底支承部210上升,上升到处理晶片200的晶片处理位置。
(升温压力调整工序)
接着说明升温压力调整工序。在此,以一个腔室为例进行说明,但不限于此,在其他腔室也进行同样的处理。
埋入于衬底载置台212的加热器213预先加热。晶片200被加热器213在例如室温~700℃的范围内加热到衬底处理温度。使用DP278、TMP265将腔室202a内的压力维持在例如0.1Pa~300Pa的范围内。
通过埋入于衬底载置台212的加热器213来对晶片200加热,但有时要达到所希望的温度会花费时间。因此,在想要尽早达到高温状态的情况下,除了加热器213之外,还可以设置作为发出红外光的光源的、作为衬底加热体的灯加热装置(灯加热器)。在升温压力调整工序中,根据需要辅助使用相关的灯加热装置,将晶片200加热到超过700℃的衬底处理温度。
(成膜工序)
接着,说明成膜工序的概要。详情将后述。在此,以一个腔室为例进行说明,但不限于此,在其他腔室也进行同样的处理。
在将晶片200升温到衬底处理温度后,将晶片200保持于规定温度,通过进行伴随加热处理的以下衬底处理。即,经由共通气体供给管242、簇射头230,将与氧化、氮化、成膜、蚀刻等所希望的处理相应的处理气体成喷淋状向配置于腔室202a内的晶片200的表面(处理面)供给,对晶片200进行处理。
(从腔室向真空搬送室的搬出工序)
在腔室202c(1)、腔室202c(2)内的处理结束了的晶片200被臂180搬出。此时,从提高产能的观点考虑,趁衬底的冷却未结束时,也就是在晶片200保持着比较接近衬底处理温度的温度的状态下,以与晶片200的搬入相反的动作,向腔室202c(1)、腔室202c(2)之外搬送。
具体而言,当针对晶片200的衬底处理完成时,打开闸阀149c(1)、闸阀149c(2)。与其并行地末端执行器181、182移动到与衬底搬入搬出口148相同高度的搬送位置。其后,衬底载置台212下降到搬送晶片200的位置,在提升销207上载置晶片200。处理完毕的晶片200被进入腔室202c(1)、腔室202c(2)的末端执行器181、182拾取。其后,末端执行器181、182退避,则搬出到真空搬送室140内。搬出后,闸阀149c(1)、闸阀149c(2)关闭。
以上,向腔室202c(1)、腔室202c(2)内的晶片200搬入、伴随加热处理的衬底处理、从腔室202c(1),腔室202c(2)的晶片200搬出各自的动作结束。
臂180将从腔室202c(1)搬出的处理完毕的晶片200搬送到加载互锁室130内。向加载互锁室130内的衬底载置台136移送了晶片200之后,加载互锁室130被闸阀134关闭。
通过重复以上的动作,由此依次处理规定片数、例如25片的晶片200。
(从加载互锁室向大气搬送室侧的搬送工序)
若闸阀134关闭,则加载互锁室130内通过非活性气体而返回到大致大气压。若加载互锁室130内返回到大致大气压,则闸阀133打开,载置于IO工作台110的空的晶盒111的罩体112被晶盒开启器121打开。
接着,大气搬送机械装置122从加载互锁室130内的衬底载置台136拾取晶片200而将其搬出到大气搬送室120内,进而收纳于晶盒111。若晶片200向晶盒111的收纳完成,则晶盒111的罩体112被晶盒开启器121关闭。关闭的晶盒111通过工序内搬送装置而从IO工作台111上向下一工序搬送。
对于以上的动作,以使用组件201c的情况为例进行了说明,但对于在组件201a、组件202b、组件202d使用的情况也实施同样的动作。
此外,在组件201a、组件201b、组件201c、组件201d内,可以分别进行相同处理,也可以进行不同处理。在组件201a、组件201b、组件201c、组件201d内进行不同处理时,可以是例如在组件201c内对晶片200进行了某处理之后,接着在组件201d内进行其他处理。此外,可以是,在组件201a内对晶片200进行了处理之后,在组件201b内进行其他处理,其后,在组件201c内、组件201d内,进行另外的其他处理。
此外,在此,将从真空搬送室向腔室的搬入工序和从腔室向真空搬送室的搬出工序作为不同工序进行了说明,但不限于此,可以并行进行这些工序。在该情况下,最初打开闸阀149,其后末端执行器181、182将处理完毕的晶片搬出。接着,末端执行器191、192搬入未处理晶片。搬入后,末端执行器191、192退避而关闭闸阀149。
然而,在如上述这样处理晶片200的情况下,从腔室202搬出的晶片200维持高温状态。因而,在搬送处理完毕晶片200的臂180,晶片200的热传导到末端执行器181、182、第一连杆构造183而使其被加热。通过重复晶片搬送,若在末端执行器181、182、第一连杆构造183等积蓄热,则引起如下等问题:轴184被破坏、或末端执行器181、182、第一连杆构造183的过热不良(熱ダレ)。这样的破坏、过热不良会使晶片200的搬送高度发生变动。导致晶片200的落下、与其他部件的接触等,因此成品率降低。
进而,在如本实施方式这样2个末端执行器181、182分别经由各自的固定部183a连接于1个支承部183b的构造的情况下,与用一个末端执行器搬送晶片时相比,热的积蓄量变大。因此,积蓄于末端执行器181、末端执行器182、多个固定部183a的热传导到支承部183b,集中积蓄,与例如末端执行器为一个的情况相比,存在更容易成为高温状态的问题。
尤其考虑到搬送大型晶片(例如450mm晶片)的情况时,大型晶片比当前使用的300mm晶片的热积蓄量多,因此进一步在臂180积蓄热。因而,在搬送大型晶片时,高温处理的问题进一步显著。
因此,在本实施方式中,在真空搬送室140的顶部设置冷却板143。更好的是执行后述的冷却模式,减少臂180的热积蓄量。以下,说明冷却板143、冷却模式的具体热降低方法。
首先,在从腔室向真空搬送室的搬出工序中,在从腔室202搬出晶片200之前,执行对臂180冷却的冷却模式。在冷却模式,首先,从导热气体供给部150向壳体141内供给导热气体。与其并行地在气体排出部160排出规定量的气体,调整真空搬送室的压力。压力例如为1.333~133.3Pa(10~1000Torr),为比真空搬送模式时的压力高的压力。
进一步具体说明以上的动作。在进行冷却模式时,运算部281从存储部282读取表1。表1记录有与冷却模式相关的动作,运算部281从表1提取与冷却模式相关的动作的信息,经由发送接收部284向导热气体供给部150、气体排出部160指示动作。
具体而言,对导热气体供给部150的MFC153指示控制导热气体的供给量,并对阀154指示打开。被指示的MFC153、阀154按照指示进行动作。由此,向真空搬送室140内供给导热气体。进而,对气体排出部160的APC162指示使其压力为β范围,对阀163指示打开。被指示的APC162、阀163按照指示进行动作。
在壳体141内,在第一连杆构造183与冷却板143之间,导热气体的密度变高,因此引起导热气体的对流。从而,在末端执行器181、182、第一连杆构造183、轴184积蓄的热移动到具有冷却板143的顶部侧,结果,第一连杆构造183等被冷却。
然而,发明人深入研究后结果发现,第一连杆构造183等与冷却板143之间的导热气体除了晶片200的热以外,还受到来自组件203的热影响。因此,冷却板143与第一连杆构造183的距离越大,则组件203的热影响越大,冷却效率变低。
因此,更优选是在冷却模式,使第一连杆构造183上升,移动到冷却板143下方的待机位置。该待机位置是指比将晶片200从腔室202搬出时的搬送位置高的位置。
在该情况下,取代表1而读取图16记载的表2。表2中在与导热气体供给部的动作、压力相关的动作方面与表1同样,关于臂位置不同。若判断为冷却模式,则除了与导热气体供给部的动作、压力相关的动作之外,还指示与臂位置相关的动作。在此,如判断为冷却模式,则对臂控制部171指示,使得臂位置成为待机位置。
进一步具体说明以上的动作。在进行冷却模式时,运算部281从存储部282读取表2。在表2记录有与冷却模式相关的动作,运算部281从表2提取与冷却模式相关的动作的信息,经由发送接收部284对导热气体供给部150、气体排出部160、臂控制部171指示动作。
具体而言,对导热气体供给部150的MFC153指示以控制导热气体的供给量,并对阀154指示打开。被指示的MFC153、阀154按照指示动作。如此,向真空搬送室140内供给导热气体。进而,对气体排出部160的APC162指示使得压力成为β范围,对阀163指示打开。被指示的APC162、阀163按照指示动作。进而,向指示部171e发送动作信息。若接收到指示部171e为待机模式这一情况,则对作动部171b的升降机构171c指示,以使末端执行器181(182)成为规定高度。升降机构171c基于其指示信息使电动机旋转,使末端执行器181(182)上升。
对图5记载的构造的待机位置进行说明。
前端184a的高度与晶片200的高度相同或比其低,因此在待机位置,能够将前端184a、第一连杆构造183配置在最高位置、即最接近冷却板143的位置。进而,末端执行器181、182也能配置在接近冷却板143的位置。
若这样接近冷却板143,则能够降低来自组件203的热影响,因此能够使从第一连杆构造183、轴184向冷却板143移动的热移动量变多。结果,与不使第一连杆构造183、轴184接近的情况相比,能够提高冷却效率。因而,能够最高效地将轴184、第一连杆构造183冷却,进而高效地将末端执行器181、182冷却。
接着,说明图15记载的构造的待机位置。
在图15记载的构造中,前端184a的高度与末端执行器181、182的高度相同或比其低,因此能够将末端执行器181、182配置在最高位置、即最接近冷却板143的位置。进而,第一连杆构造183、前端184a也能配置在接近冷却板143的位置。因而,能够最高效地将末端执行器181、182冷却,进而高效地将第一连杆构造183、轴184冷却。
若这样接近冷却板143,则能够降低来自组件203的热影响,因此能够使从末端执行器181、182向冷却板143移动的热移动量变多。结果,与不使末端执行器181、182接近的情况相比,能够提高冷却效率。而且,由于在晶片200不载置于末端执行器181、182的状态下进行冷却,因此与载置晶片200的情况相比,能够更高效地将末端执行器181、182、第一连杆构造183冷却。
然而,待机位置处的末端执行器181、182与冷却板143的关系在水平方向上如下所示。如图11所示,冷却板143在水平方向上设置于末端执行器181、182的可动范围。该情况下,可以将末端执行器181、182、第一连杆构造183、各轴作为目标进行冷却,进而能够适当选择待机位置。例如,在从组件202c搬出晶片时的冷却模式中,可以选择在冷却板143的下方、且与闸阀149c相对的区域进行冷却,因此能够以短时间转移到下一晶片搬出动作。此外,在从组件202b搬出晶片时的冷却模式中,能够选择在冷却板143的下方、且与闸阀149b相对的区域进行冷却,因此能够以短时间转移到下一晶片搬出动作。通过这样可以适当选择,由此能够提高机械装置运用的效率。
此外,冷却板143在水平方向上,如图12所示,在水平方向可以设置于第一连杆构造183的可动范围。通过这样,可以进行最容易积蓄热的第一连杆构造183的冷却,并且可以在盖上设置间隙,因此可以灵活配置温度传感器164、导热气体供给部150等。
此外,冷却板143在水平方向,如图13所示,可以设置在从机械装置的轴到腔室的衬底搬入搬出口之间。在该情况下,在所有组件与机械装置的轴之间设置冷却板143。通过这样构成,不增加冷却板143的专有面积,就能进行最容易积蓄热的第一连杆构造183的冷却。通过这样,可以在盖上设置间隙,因此与图12的构造相比,可以更灵活地配置温度传感器164、导热气体供给部150等。
此外,冷却板143在水平方向上,如图14所示,可以设置在一个组件与机械装置的轴之间。该情况下,在组件与机械装置的轴之间设置冷却板143。通过这样构成,不增加冷却板143的专有面积,就能进行最容易积蓄热的第一连杆构造183的冷却。可以在盖上设置间隙,因此与图12、图13的构造相比,可以更灵活地配置温度传感器164、导热气体供给部150等。
另外,上述中说明了在将晶片200从真空搬送室搬出之前进行的冷却模式,但不限于此。例如,可以在将晶片200从真空搬送室搬出之后,在晶片200载置于末端执行器181、182的状态下进行冷却模式。该情况下,不限于臂180的冷却,可以进行晶片200的冷却。其后,搬送被冷却后的状态的晶片200,由此能够进一步降低臂180的热积蓄量。
(衬底处理工序)
接着,详细说明搬入到各腔室的晶片200的处理工序。在此,作为在各腔室共通的处理而使用腔室202进行说明。
图9是表示本实施方式涉及的衬底处理工序的流程图。图10是表示图9的成膜工序的详情的流程图。
以下,对作为第一处理气体使用DCS气体、作为第二处理气体使用氨气(NH3)、在晶片200上形成氮化硅膜作为薄膜的例子进行说明。
(衬底搬入载置工序S102)
在处理装置100中,使衬底载置台212下降至晶片200的搬送位置,由此使提升销207贯通衬底载置台212的贯通孔214。结果,提升销207成为仅比衬底载置台212表面突出规定高度的状态。接下来,打开闸阀149,使搬送空间206与真空搬送室141连通。然后,使用臂190将晶片200从所述真空搬送室141搬入搬送空间206,将晶片200移载到提升销207上。由此,将晶片200以水平姿势支承于从衬底载置台212的表面突出的提升销207上。
在将晶片200搬入腔室202内后,使臂190向腔室202外退避,关闭闸阀149从而将腔室202内密闭。之后,使衬底载置台212上升,由此使晶片200载置于设置于衬底载置台212的衬底载置面211上。进一步使衬底载置台212上升,由此使晶片200上升至前文所述的处理空间205内的处理位置(衬底处理位置)。
晶片200被搬入到搬送空间206后,当上升到处理空间205内的处理位置时,关闭阀266。由此,搬送空间206与TMP265之间、以及TMP265与排气管264之间被截断,基于TMP265的搬送空间206的排气结束。另一方面,打开阀275,使处理空间205与APC276之间连通。APC276调整排气管262的流导,由此来控制基于DP278的处理空间205的排气流量,将处理空间205维持在规定压力(例如10-5~10-1Pa的高真空)。
需要说明的是,在该工序中,可以一边对腔室202内排气,一边从非活性气体供给系统向腔室202内供给作为非活性气体的N2气体。即,可以通过一边利用TMP265或DP278对腔室202内排气,一边至少打开第三气体供给系统的阀245d,由此向腔室202内供给N2气体。
此外,在衬底载置台212上载置晶片200时,对埋入于衬底载置台212内部的加热器213供给电力,以晶片200的表面成为规定温度的方式进行控制。晶片200的温度例如为室温以上且800℃以下,优选为室温以上且700℃以下。此时,通过基于由未图示的温度传感器检测到的温度信息来控制对加热器213的通电情况,由此调节加热器213的温度。
(成膜工序S104)
接下来,进行薄膜形成工序S104。以下,参照图11,对成膜工序S104进行详细说明。需要说明的是,成膜工序S104为将交替供给不同处理气体的工序反复进行的交替供给处理。
(第一处理气体供给工序S202)
当加热晶片200达到所希望的温度时,打开阀243d,并且调节质量流量控制器243c以使DCS气体的流量成为规定流量。需要说明的是,DCS气体的供给流量例如为100sccm以上且800sccm以下。此时,可以打开第三气体供给系统的阀245d,从第三气体供给管245a供给N2气。此外,还可以从第一非活性气体供给系统流过N2气。此外,还可以在该工序前,从第三气体供给管245a开始N2气的供给。
经由第一分散机构241供给至处理空间205的DCS气体被供给至晶片200上。在晶片200的表面使DCS气体与晶片200上接触,由此形成作为“含有第一元素层”的含硅层。
与例如腔室202内的压力、DCS气体的流量、衬底载置台212的温度、通过处理空间205所花费的时间等相应地,以规定的厚度及规定的分布形成含硅层。需要说明的是,可以在晶片200上预先形成规定的膜。此外,还可以在晶片200或规定的膜上预先形成规定的图案。
从开始DCS气体的供给起经过规定时间后,关闭阀243d,停止DCS气体的供给。在上述的S202的工序中,打开阀275,通过APC276控制为使得处理空间205的压力成为规定压力。在S202,阀275以外的排气系统的阀全部关闭。
(吹扫工序S204)
接着,从第三气体供给管245a供给N2气,进行簇射头230及处理空间205的吹扫。此时,也打开阀275,从而通过APC276进行控制,以使处理空间205的压力成为规定压力。另一方面,阀275以外的排气系统的阀全部关闭。由此,在第一处理气体供给工序S202中无法与晶片200键合的DCS气体通过DP278经由排气管262从处理空间205除去。
接着,从第三气体供给管245a供给N2气,进行簇射头230的吹扫。此时,打开阀275,并打开阀279。其他排气系统的阀保持关闭。即,在进行簇射头230的吹扫时,将处理空间205与APC276之间截断,并且将APC276与排气管264之间截断,停止基于APC276的压力控制。另一方面,使缓冲空间232与DP278之间连通。由此,残留于簇射头230(缓冲空间232)内的DCS气体经由排气管263而通过DP278从簇射头230排气。
当簇射头230的吹扫结束时,打开阀275,再次开始基于APC276的压力控制,并且关闭阀279而将簇射头230与排气管264之间截断。其他排气系统的阀保持关闭。此时也继续从第三气体供给管245a供给N2气,继续簇射头230及处理空间205的吹扫。需要说明的是,在吹扫工序S204中,在经由排气管262的吹扫的前后进行经由排气管263的吹扫,但也可以仅是经由排气管262的吹扫。此外,也可以同时进行经由排气管262的吹扫和经由排气管263的吹扫。
(第二处理气体供给工序S206)
在吹扫工序S204之后,打开阀244d,经由簇射头230,开始向处理空间205内供给氨气。
此时,调整质量流量控制器244c,以使氨气的流量为规定流量。需要说明的是,氨气的供给流量例如为100sccm以上且6000sccm以下。需要说明的是,可以与氨气一起从第二非活性气体供给系统流过N2气作为载体气体。此外,在该工序中也打开第三气体供给系统的阀245d,从第三气体供给管245a供给N2气。
经由第一分散机构241供给到腔室202的等离子体状态的氨气被供给到晶片200上。已经形成的含硅层被氨气改质,由此在晶片200上形成例如含有硅元素及氮元素的层。
经过了规定时间后,关闭阀244d,停止供给含氮气体。
在S206中,也与上述的S202同样,打开阀275,通过APC276进行控制以使处理空间205的压力成为规定压力。此外,阀275以外的排气系统的阀全部关闭。
(吹扫工序S208)
接着,执行与S204同样的吹扫工序。各部的动作与S204相同,因此省略说明。
(判定S210)
控制器280判定是否实施了规定次数(ncycle)的上述1循环。
在未实施规定次数时(在S210为否时),重复进行第一处理气体供给工序S202、吹扫工序S204、第二处理气体供给工序S206、吹扫工序S208的循环。在实施了规定次数时(在S210为是时),结束图10所示的处理。
返回图9的说明,接着执行衬底搬出工序S106。
(衬底搬出工序S106)
在衬底搬出工序S106,使衬底载置台212下降,使晶片200支承在从衬底载置台212的表面突出的提升销207上。由此,晶片200从处理位置变为搬送位置。其间,臂180转移到冷却模式而被冷却。之后,打开闸阀149,使用臂180将晶片200搬出到腔室202外。此时,关闭阀245d,停止从第三气体供给系统向腔室202内供给非活性气体。
接着,当晶片200移动到搬送位置时,打开阀266,通过TMP265(及DP278)对搬送空间206的气氛排气,由此将腔室202维持为高真空(超高真空)状态(例如10-5Pa以下),降低与同样维持为高真空(超高真空)状态(例如10-6Pa以下)的真空搬送室140的压力差。
(处理次数判定工序S106)
通过臂180搬出晶片200之后,判定薄膜形成工序是否达到了规定次数。若判断为达到规定次数,则结束处理。若判断为未达到规定次数,则接着开始待机的晶片200的处理,因此转移到衬底搬出入工序S108。若判断为达到规定次数,则转移到将处理完毕的晶片200搬出的衬底搬出工序S110。
以上,以本发明的各种典型的实施方式的形式对成膜技术进行了说明,但本发明并不限定于这些实施方式。例如,也可以适用于进行除上述例示过的薄膜之外的成膜处理、扩散处理、氧化处理、氮化处理、光刻处理等其他衬底处理的情形。此外,本发明除了退火处置装置之外,也可以适用于薄膜形成装置、蚀刻装置、氧化处理装置、氮化处理装置、涂布装置、加热装置等其他衬底处理装置。此外,可以将某个实施方式的结构的一部分替换为其他实施方式的结构,此外,也可以在某个实施方式的结构中添加其他实施方式的结构。此外,对于各实施方式的结构的一部分而言,也可以增加其他结构、进行删除或替换。
此外,在上述実施例中,作为含有第一元素气体,以DCS为例进行了说明,作为第一元素以Si为例进行了说明,但不限于此。例如,作为第一元素,可以是Ti、Zr、Hf等各种元素。此外,作为含有第二元素气体,以NH3为例进行了说明,作为第二元素以N为例进行了说明,但不限于此。例如作为第二元素可以是O等。
(第二实施方式)
接着,说明第二实施方式。
第二实施方式的装置构成与第一实施方式相同,因此省略,对不同点、即向冷却模式的转移进行说明。
第一实施方式中,说明了在将处理完毕的晶片200从腔室202搬出时转移到冷却模式,但不限于此,可以如本实施方式这样,监测臂180的温度,若检测到的温度成为规定温度以上则转移到冷却模式。
具体而言,以如下方法转移到冷却模式。首先,在将处理完毕晶片200从腔室搬出之前、将处理完毕晶片搬入到加载互锁室130之后等,温度传感器164检测臂180的温度。所检测到的温度信息由温度监测部165监测。所监测到的温度信息被送往控制器280。
控制器280利用发送接收部284接收温度信息,并从存储部读取图16所记载的表3。控制器280内的比较部285比较检测到的温度信息与温度区T1、温度区T2。在此,温度区T1是指一定的温度范围。温度区T2是指比温度区T1高的温度、不同于温度区T1的温度范围。
比较结果若为检测到的温度信息处于T1的范围,则判断为在臂180未积蓄热,维持真空搬送模式。若检测到的温度范围处于T2的范围,则视为在臂180积蓄有热,接着读取表1或表2,转移到冷却模式。
如此在成为规定值以上的温度时冷却,因此能够以适当的时机转移到冷却模式,因而能够实现不降低产能的处理。
(第三实施方式)
接着说明第三实施方式。第三实施方式的装置构成与第一实施方式相同,因此省略,对不同点、即向冷却模式的转移进行说明。
第一实施方式中,说明了在将处理完毕的晶片200从腔室202搬出时转移到冷却模式,但不限于此,可以如本实施方式这样,若以规定次数使用臂180搬送晶片200,则可以转移到冷却模式。
具体而言,通过实验等明确晶片处理温度、搬送次数、臂180的耐热温度之间的关系,在达到规定的搬送次数时转移到冷却模式。
更具体而言,如下这样处理。控制器280用控制器280内的计数部286对搬送次数计数。接着,从存储部216读取表4。比较部285对计数部286计数的搬送次数与表4的信息进行比较。比较结果若为检测到的搬送次数为N次以下,则判断为在臂180未积蓄热,维持真空搬送模式。若检测到的搬送次数多于N,则视为在臂180积蓄有热,接着读取表1或表2,转移到冷却模式。在此N次是指通过实验等总结的次数,是成为接近耐热温度的温度的搬送次数。
另外,关于耐热温度,可以根据事前的实验等,根据几个处理模式而明确与晶片处理温度、搬送次数、臂180的耐热温度之间的关系。
例如,在近年的多品种小批次处理中,在每1批次的晶片的处理温度不同时,做成如下的处理模式。
热的积蓄量例如如下这样根据晶片的温度、搬送次数而积蓄。在将晶片200的温度加热到600℃左右时,若实施5~6次左右的晶片搬送,则对耐热性带来影响。因而,在6次左右搬送后转移到冷却模式。在晶片200的温度加热到500℃左右的情况下,若实施10次左右的晶片搬送,则对耐热性带来影响。因而,在10次左右搬送后转移到冷却模式。
为不同温度的处理的情况下,如上所述,应转移到冷却模式的搬送次数不同。假设基于与高温处理相符的搬送次数转移到冷却模式时,在低温处理中产能降低。
因此,在本实施方式中,根据晶片温度,设定转移到冷却模式的搬送次数。具体而言,预先在存储部存储多个温度条件和转移到与其相应的冷却模式的搬送次数,基于从装置管理者、上位装置接收的晶片信息选择晶片温度,同时选择转移到冷却模式的搬送次数。
通过这样构成,在处理多品种的晶片时,也不会使产能降低。
以上的实施方式中,作为冷却机构记载了冷却板,但不限于此,可以做成例如供冷却介质(chiller)等流过的冷却流路。
(本发明的优选方式)
以下,附记本发明的优选方式。
<附记1>
根据本发明的一方案,提供一种衬底处理装置,包括:
机械装置,其具有:支承衬底的末端执行器;第一连杆构造,其由前端固定有所述末端执行器的固定部、支承所述固定部的支承部和设于所述支承部的第一孔构成;设有第二孔的第二连杆构造;以及轴,通过所述轴插入于所述第一孔及所述第二孔而将所述第一连杆构造及所述第二连杆构造连接,所述轴的上端位于与载置在所述末端执行器的衬底的高度相同、或比其低;
真空搬送室,在内侧具有所述机械装置;
组件,具有多个腔室,所述腔室与所述真空搬送室相邻,对通过所述机械装置从所述真空搬送室搬送的所述衬底进行加热处理;以及
冷却机构,设于所述第一连杆构造或所述轴的上方,对所述第一连杆构造或所述轴进行冷却。
<附记2>
在附记1所述的衬底处理装置中,优选是,
设于所述腔室的衬底搬入搬出口分别设置在与所述真空搬送室相邻的面上。
<附记3>
在附记1或2所述的衬底处理装置中,优选是,
所述冷却机构设置在构成所述真空搬送室的壳体中的上壁。
<附记4>
在附记1~3中任一项所述的衬底处理装置中,优选是,
所述机械装置还包括:具有所述末端执行器和所述轴的第一臂、具有不同于所述第一臂的末端执行器的第二臂,
所述第一臂的末端执行器的高度高于所述第二臂的末端执行器的高度。
<附记5>
在附记1~4中任一项所述的衬底处理装置中,优选是,
在所述第一臂的末端执行器载置在所述腔室处理后的衬底。
<附记6>
在附记1~5中任一项所述的衬底处理装置中,优选是,
在所述真空搬送室设有供给导热气体的导热气体供给部。
<附记7>
在附记1~6中任一项所述的衬底处理装置中,优选是,
所述轴的上端与所述末端执行器的上端的高度相同或比其低。
<附记8>
在附记1~7中任一项所述的衬底处理装置中,优选是,
在所述真空搬送室设有检测所述第一臂的温度的温度传感器,若所述温度检测传感器检测到规定温度,则转移到所述第一臂的冷却模式。
<附记9>
在附记8所述的衬底处理装置中,优选是,
在所述冷却模式,所述第一臂的末端执行器移动到待机位置。
<附记10>
在附记9所述的衬底处理装置中,优选是,
所述待机位置设定为比所述第一臂搬送晶片的搬送位置更接近所述冷却机构的位置。
<附记11>
在附记8~10中任一项所述的衬底处理装置中,优选是,
所述冷却模式在所述末端执行器未载置衬底的状态下进行。
<附记12>
在附记8~11中任一项所述的衬底处理装置中,优选是,
在所述冷却模式,从所述导热气体供给部供给导热气体。
<附记13>
在附记8~12中任一项所述的衬底处理装置中,优选是,
所述第一臂在从所述腔室搬出衬底之前,执行所述冷却模式。
<附记14>
在附记8~13中任一项所述的衬底处理装置中,优选是,
所述冷却模式在从所述腔室搬出衬底之后执行。
<附记15>
在附记1~14中任一项所述的衬底处理装置中,优选是,
所述冷却机构设置于所述机械装置的上方。
<附记16>
在附记1~14中任一项所述的衬底处理装置中,优选是,
所述冷却机构在水平方向上设置于所述第一臂的末端执行器的可动范围。
<附记17>
在附记1~14中任一项所述的衬底处理装置中,优选是,
所述冷却机构在水平方向设置于所述轴与所述腔室的衬底搬入搬出口之间。
<附记18>
根据另一方案,提供一种半导体器件的制造方法,包括如下工序:
在机械装置中的末端执行器载置衬底的工序,所述机械装置配置于真空搬送室的内侧,具有:支承衬底的末端执行器;第一连杆构造,其由前端固定有所述末端执行器的固定部、多个支承所述固定部的支承部和设于所述支承部的第一孔构成;设有第二孔的第二连杆构造;以及轴,通过所述轴插入于设于所述第一连杆构造的支承部的第一孔及设于所述第二连杆构造的第二孔而将所述第一连杆构造及所述第二连杆构造连接,所述轴的上端位于与载置在所述末端执行器的衬底的高度相同或比其低;
通过设于所述第一连杆构造或所述轴的上方的冷却机构对所述第一连杆构造或所述轴进行冷却的工序;
通过所述机械装置向组件中的腔室搬入所述衬底的工序,所述组件具有多个与所述真空搬送室相邻、对所述衬底进行加热处理的所述腔室;
在所述腔室对所述衬底进行加热处理的工序。
<附记19>
根据又一方案,提供一种使计算机执行如下步骤的程序或保存有程序的记录介质,使计算机执行如下步骤:
在机械装置中的末端执行器载置衬底的步骤,所述机械装置配置于真空搬送室的内侧,具有:支承衬底的末端执行器;第一连杆构造,其由前端固定有所述末端执行器的固定部、多个支承所述固定部的支承部和设于所述支承部的第一孔构成;设有第二孔的第二连杆构造;以及轴,通过所述轴插入于设于所述第一连杆构造的支承部的第一孔及设于所述第二连杆构造的第二孔而将所述第一连杆构造及所述第二连杆构造连接,所述轴的上端位于与载置在所述末端执行器的衬底的高度相同或比其低;
通过设于所述第一连杆构造或所述轴的上方的冷却机构对所述第一连杆构造或所述轴进行冷却的步骤;
通过所述机械装置向组件中的腔室搬入所述衬底的步骤,所述组件具有多个与所述真空搬送室相邻、对所述衬底进行加热处理的所述腔室;
在所述腔室对所述衬底进行加热处理的步骤。
<附记20>
根据又一方案,提供一种衬底处理装置,包括:
机械装置,其具有:支承衬底的末端执行器;第一连杆构造,其由前端固定有所述末端执行器的固定部、支承所述固定部的支承部和设于所述支承部的第一孔构成;设有第二孔的第二连杆构造;轴,通过所述轴插入于所述第一孔及所述第二孔而将所述第一连杆构造及所述第二连杆构造连接,所述轴的上端位于与载置在所述末端执行器的衬底的高度相同、或比其低;以及臂控制部,控制所述轴的动作;
真空搬送室,在内侧具有所述机械装置;
温度传感器,设于所述真空搬送室,检测所述第一臂的温度;
温度监测部,监测所述温度传感器的信息;
组件,具有多个腔室,所述腔室与所述真空搬送室相邻,对通过所述机械装置从所述真空搬送室搬送的所述衬底进行加热处理;
冷却机构,设于所述第一连杆构造或所述轴的上方,对所述第一连杆构造或所述轴进行冷却;
存储部,存储有比较所述第一臂的温度信息和所述第一臂的冷却模式中的动作参数的表;
比较部,比较所述表的内容与所述接收到的温度信息,在温度信息处于规定的温度范围时提取冷却模式的动作参数;以及
发送接收部,接收所述温度监测部所监测到的温度信息,或将在所述比较部提取的动作参数发送给所述臂控制部。

Claims (20)

1.一种衬底处理装置,包括:
机械装置,其具有:支承衬底的末端执行器;第一连杆构造,其由前端固定有所述末端执行器的固定部、支承所述固定部的支承部和设于所述支承部的第一孔构成;设有第二孔的第二连杆构造;以及轴,通过所述轴插入于所述第一孔及所述第二孔而将所述第一连杆构造及所述第二连杆构造连接,所述轴的上端位于与载置在所述末端执行器的衬底的高度相同或比其低;
真空搬送室,在内侧具有所述机械装置;
组件,具有多个腔室,所述腔室与所述真空搬送室相邻,对通过所述机械装置从所述真空搬送室搬送的所述衬底进行加热处理;以及
冷却机构,设于所述第一连杆构造或所述轴的上方,对所述第一连杆构造或所述轴进行冷却。
2.根据权利要求1所述的衬底处理装置中,其中,
所述冷却机构设置在构成所述真空搬送室的壳体中的上壁。
3.根据权利要求2所述的衬底处理装置中,其中,
所述轴的上端与所述末端执行器的上端的高度相同或比其低。
4.根据权利要求3所述的衬底处理装置中,其中,
在所述真空搬送室设有检测所述第一连杆构造或所述轴的温度的温度传感器,若所述温度传感器检测到规定温度,则转移到所述第一连杆构造或所述轴的冷却模式。
5.根据权利要求4所述的衬底处理装置中,其中,
在所述冷却模式,所述末端执行器移动到待机位置。
6.根据权利要求5所述的衬底处理装置中,其中,
所述待机位置设定为比搬送所述晶片的搬送位置更接近所述冷却机构的位置。
7.根据权利要求5所述的衬底处理装置中,其中,
在所述真空搬送室设有供给导热气体的导热气体供给部。
8.根据权利要求7所述的衬底处理装置中,其中,
在所述冷却模式,从所述导热气体供给部供给导热气体。
9.根据权利要求8所述的衬底处理装置中,其中,
在从所述腔室搬出衬底之前,执行所述冷却模式。
10.根据权利要求8所述的衬底处理装置中,其中,
在从所述腔室搬出衬底之后执行所述冷却模式。
11.根据权利要求2所述的衬底处理装置中,其中,
所述冷却机构设置于所述机械装置的上方。
12.根据权利要求2所述的衬底处理装置中,其中,
所述冷却机构在水平方向上设置于所述末端执行器的可动范围。
13.根据权利要求2所述的衬底处理装置中,其中,
所述冷却机构在水平方向设置于所述轴与所述腔室的衬底搬入搬出口之间。
14.根据权利要求1所述的衬底处理装置中,其中,
在所述真空搬送室设有供给导热气体的导热气体供给部。
15.根据权利要求14所述的衬底处理装置中,其中,
在所述真空搬送室设有检测所述第一连杆构造或所述轴的温度的温度传感器,若所述温度传感器检测到规定温度,则转移到所述第一连杆构造或所述轴的冷却模式。
16.根据权利要求15所述的衬底处理装置中,其中,
所述冷却模式在所述末端执行器未载置衬底的状态下进行。
17.根据权利要求15所述的衬底处理装置中,其中,
在所述冷却模式,从所述导热气体供给部供给导热气体。
18.根据权利要求16所述的衬底处理装置中,其中,
在从所述腔室搬出衬底之前,执行所述冷却模式。
19.根据权利要求16所述的衬底处理装置中,其中,
在从所述腔室搬出衬底之后执行所述冷却模式。
20.一种半导体器件的制造方法,包括如下工序:
在机械装置中的末端执行器载置衬底的工序,所述机械装置配置于真空搬送室的内侧,具有:支承衬底的末端执行器;第一连杆构造,其由前端固定有所述末端执行器的固定部、多个支承所述固定部的支承部和设于所述支承部的第一孔构成;设有第二孔的第二连杆构造;以及轴,通过所述轴插入于设于所述第一连杆构造的支承部的第一孔及设于所述第二连杆构造的第二孔而将所述第一连杆构造及所述第二连杆构造连接,所述轴的上端位于与载置在所述末端执行器的衬底的高度相同或比其低;
通过设于所述第一连杆构造或所述轴的上方的冷却机构对所述第一连杆构造或所述轴进行冷却的工序;
通过所述机械装置向组件中的腔室搬入所述衬底的工序,所述组件具有多个与所述真空搬送室相邻、对所述衬底进行加热处理的所述腔室;
在所述腔室对所述衬底进行加热处理的工序。
CN201610079287.0A 2015-09-29 2016-02-04 衬底处理装置及半导体器件的制造方法 Active CN106558517B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015191269A JP6339057B2 (ja) 2015-09-29 2015-09-29 基板処理装置、半導体装置の製造方法、プログラム
JP2015-191269 2015-09-29

Publications (2)

Publication Number Publication Date
CN106558517A true CN106558517A (zh) 2017-04-05
CN106558517B CN106558517B (zh) 2019-07-12

Family

ID=58162260

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610079287.0A Active CN106558517B (zh) 2015-09-29 2016-02-04 衬底处理装置及半导体器件的制造方法

Country Status (5)

Country Link
US (1) US9589819B1 (zh)
JP (1) JP6339057B2 (zh)
KR (1) KR101849450B1 (zh)
CN (1) CN106558517B (zh)
TW (1) TWI659489B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110919695A (zh) * 2019-11-29 2020-03-27 Tcl华星光电技术有限公司 机械手臂
WO2023124310A1 (zh) * 2021-12-27 2023-07-06 南昌中微半导体设备有限公司 一种晶圆传输装置、气相沉积系统及使用方法

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10325790B2 (en) * 2016-04-29 2019-06-18 Applied Materials, Inc. Methods and apparatus for correcting substrate deformity
JP7027057B2 (ja) * 2017-07-18 2022-03-01 株式会社アルバック 基板搬送装置
WO2019140200A1 (en) * 2018-01-15 2019-07-18 Applied Materials, Inc. Advanced temperature monitoring system and methods for semiconductor manufacture productivity
JP7032955B2 (ja) * 2018-02-28 2022-03-09 株式会社Screenホールディングス 熱処理方法
JP2020017645A (ja) 2018-07-26 2020-01-30 株式会社Kokusai Electric 基板処理装置
US11031264B2 (en) * 2018-08-15 2021-06-08 Taiwan Semoconductor Manufacturing Co., Ltd. Semiconductor device manufacturing system
JP6857675B2 (ja) * 2019-03-06 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR20210081729A (ko) * 2019-12-24 2021-07-02 에스케이하이닉스 주식회사 반도체 테스트 시스템 및 방법
JP7480585B2 (ja) 2020-05-20 2024-05-10 東京エレクトロン株式会社 連接処理容器及び基板処理システム。
US20210407837A1 (en) * 2020-06-30 2021-12-30 Applied Materials, Inc. Robot apparatus and systems, and methods for transporting substrates in electronic device manufacturing
US20220005726A1 (en) * 2020-07-02 2022-01-06 Applied Materials, Inc. Robot apparatus, systems, and methods for transporting substrates in electronic device manufacturing
US20220113198A1 (en) * 2020-10-14 2022-04-14 Applied Materials, Inc. Advanced temperature monitoring system with expandable modular layout design
JP2023074944A (ja) 2021-11-18 2023-05-30 東京エレクトロン株式会社 連接処理容器及び基板処理方法。

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1426087A (zh) * 2001-11-30 2003-06-25 东京毅力科创株式会社 衬底处理系统
JP2004128383A (ja) * 2002-10-07 2004-04-22 Hitachi Kokusai Electric Inc 基板処理装置
CN1600658A (zh) * 2003-08-20 2005-03-30 神钢电机株式会社 衬底搬进搬出装置和方法及衬底搬送装置和方法
JP2005259858A (ja) * 2004-03-10 2005-09-22 Hitachi Kokusai Electric Inc 基板処理装置
JP2005354025A (ja) * 2004-05-13 2005-12-22 Tokyo Electron Ltd 基板搬送機構、該基板搬送機構を備える基板搬送装置、基板搬送機構のパーティクル除去方法、基板搬送装置のパーティクル除去方法、該方法を実行するためのプログラム、及び記憶媒体
KR20090037931A (ko) * 2007-03-23 2009-04-16 도쿄엘렉트론가부시키가이샤 기판 반송 장치, 기판 반송 모듈, 기판 반송 방법 및 컴퓨터 가독 기억 매체
JP2010171344A (ja) * 2009-01-26 2010-08-05 Tokyo Electron Ltd 真空処理装置
JP2011061149A (ja) * 2009-09-14 2011-03-24 Tokyo Electron Ltd 共通搬送装置及びこれを用いた処理システム
JP2013531364A (ja) * 2010-04-30 2013-08-01 アプライド マテリアルズ インコーポレイテッド 共通のリソースを有するプロセスチャンバ及びその使用方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0786371A (ja) 1993-09-10 1995-03-31 Dainippon Screen Mfg Co Ltd 基板搬送装置
JP2000306978A (ja) * 1999-02-15 2000-11-02 Kokusai Electric Co Ltd 基板処理装置、基板搬送装置、および基板処理方法
US6986261B2 (en) * 2002-11-15 2006-01-17 Tokyo Electron Limited Method and system for controlling chiller and semiconductor processing system
US7748138B2 (en) * 2004-05-13 2010-07-06 Tokyo Electron Limited Particle removal method for a substrate transfer mechanism and apparatus
JP5032269B2 (ja) * 2007-11-02 2012-09-26 東京エレクトロン株式会社 被処理基板の温度調節装置及び温度調節方法、並びにこれを備えたプラズマ処理装置
JP5885404B2 (ja) 2010-08-04 2016-03-15 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5606279B2 (ja) * 2010-11-08 2014-10-15 株式会社ダイヘン 搬送装置
JP5940342B2 (ja) * 2011-07-15 2016-06-29 東京エレクトロン株式会社 基板搬送装置、基板処理システムおよび基板搬送方法、ならびに記憶媒体
JP5825948B2 (ja) * 2011-09-14 2015-12-02 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
KR101568748B1 (ko) * 2011-11-01 2015-11-12 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 반도체 장치의 제조 장치 및 기록 매체
JP6079200B2 (ja) * 2012-05-16 2017-02-15 東京エレクトロン株式会社 クーリング機構及び処理システム
JP6029250B2 (ja) * 2013-03-28 2016-11-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1426087A (zh) * 2001-11-30 2003-06-25 东京毅力科创株式会社 衬底处理系统
JP2004128383A (ja) * 2002-10-07 2004-04-22 Hitachi Kokusai Electric Inc 基板処理装置
CN1600658A (zh) * 2003-08-20 2005-03-30 神钢电机株式会社 衬底搬进搬出装置和方法及衬底搬送装置和方法
JP2005259858A (ja) * 2004-03-10 2005-09-22 Hitachi Kokusai Electric Inc 基板処理装置
JP2005354025A (ja) * 2004-05-13 2005-12-22 Tokyo Electron Ltd 基板搬送機構、該基板搬送機構を備える基板搬送装置、基板搬送機構のパーティクル除去方法、基板搬送装置のパーティクル除去方法、該方法を実行するためのプログラム、及び記憶媒体
KR20090037931A (ko) * 2007-03-23 2009-04-16 도쿄엘렉트론가부시키가이샤 기판 반송 장치, 기판 반송 모듈, 기판 반송 방법 및 컴퓨터 가독 기억 매체
JP2010171344A (ja) * 2009-01-26 2010-08-05 Tokyo Electron Ltd 真空処理装置
JP2011061149A (ja) * 2009-09-14 2011-03-24 Tokyo Electron Ltd 共通搬送装置及びこれを用いた処理システム
JP2013531364A (ja) * 2010-04-30 2013-08-01 アプライド マテリアルズ インコーポレイテッド 共通のリソースを有するプロセスチャンバ及びその使用方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110919695A (zh) * 2019-11-29 2020-03-27 Tcl华星光电技术有限公司 机械手臂
CN110919695B (zh) * 2019-11-29 2021-04-02 Tcl华星光电技术有限公司 机械手臂
WO2023124310A1 (zh) * 2021-12-27 2023-07-06 南昌中微半导体设备有限公司 一种晶圆传输装置、气相沉积系统及使用方法

Also Published As

Publication number Publication date
KR20170038141A (ko) 2017-04-06
US9589819B1 (en) 2017-03-07
US20170092518A1 (en) 2017-03-30
TWI659489B (zh) 2019-05-11
CN106558517B (zh) 2019-07-12
TW201712781A (zh) 2017-04-01
KR101849450B1 (ko) 2018-04-16
JP6339057B2 (ja) 2018-06-06
JP2017069314A (ja) 2017-04-06

Similar Documents

Publication Publication Date Title
CN106558517A (zh) 衬底处理装置及半导体器件的制造方法
US20210254211A1 (en) Substrate processing apparatus
CN111463118A (zh) 基板处理装置、半导体器件的制造方法及基板处理方法
KR101739702B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 및 기록매체
US20110239937A1 (en) Apparatus and method for treating substrate
JP2010034283A (ja) 基板処理装置
TWI611495B (zh) 基板處理裝置、半導體裝置之製造方法及程式
US11404291B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP2016148080A (ja) 基板処理装置、半導体装置の製造方法およびプログラム
KR102012557B1 (ko) 기판 처리 장치, 프로그램, 유체 순환 기구 및 반도체 장치의 제조 방법
CN108335998B (zh) 基板处理装置和基板的冷却方法
JP2007088337A (ja) 基板処理装置
JP6906559B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP2011222656A (ja) 基板処理装置
JP2009224457A (ja) 基板処理装置
JP2005116565A (ja) 基板処理装置
JP2007194481A (ja) 基板処理装置
JP2004221214A (ja) 基板処理装置
WO2004057656A1 (ja) 基板処理装置および半導体装置の製造方法
JP2008078218A (ja) 基板処理装置
JP2008078548A (ja) 基板処理装置
JP2005142478A (ja) 基板処理装置
JP2007258255A (ja) 基板処理装置
JP2011171509A (ja) 基板処理装置
JP2010147174A (ja) 基板処理装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right

Effective date of registration: 20181205

Address after: Tokyo, Japan, Japan

Applicant after: International Electric Co., Ltd.

Address before: Tokyo, Japan, Japan

Applicant before: Hitachi Kunisai Electric Corp.

TA01 Transfer of patent application right
GR01 Patent grant
GR01 Patent grant