CN105719950B - 硅蚀刻与清洁 - Google Patents

硅蚀刻与清洁 Download PDF

Info

Publication number
CN105719950B
CN105719950B CN201510965135.6A CN201510965135A CN105719950B CN 105719950 B CN105719950 B CN 105719950B CN 201510965135 A CN201510965135 A CN 201510965135A CN 105719950 B CN105719950 B CN 105719950B
Authority
CN
China
Prior art keywords
etching
gas
processing chamber
plasma processing
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510965135.6A
Other languages
English (en)
Other versions
CN105719950A (zh
Inventor
汤姆·A·坎普
亚历山大·M·帕特森
尼马·拉斯特加尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN105719950A publication Critical patent/CN105719950A/zh
Application granted granted Critical
Publication of CN105719950B publication Critical patent/CN105719950B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Abstract

本发明涉及硅蚀刻与清洁。提供了一种用于将特征蚀刻到含硅蚀刻层中的方法。将蚀刻层放置到等离子体处理室中。使蚀刻气体流入所述等离子体处理室。使蚀刻气体形成蚀刻等离子体,其中所述蚀刻等离子体将特征蚀刻到所述含硅层中,留下含硅残留物。使蚀刻气体停止流入所述等离子体处理室。使干燥的清洁气体流入所述等离子体处理室,其中所述干燥的清洁气体包括NH3和NF3。使所述干燥的清洁气体形成等离子体,其中使所述含硅残留物暴露于所述干燥的清洁气体的等离子体,并且其中所述含硅残留物中的至少一些或全部形成含铵化合物。使所述干燥的清洁气体的流动停止。使铵化合物从膜升华。

Description

硅蚀刻与清洁
技术领域
本发明涉及半导体器件的制造。更具体地说,本发明涉及硅层的蚀刻和清洁。
背景技术
在半导体晶片处理期间,可以使特征蚀刻穿过硅层。这样的蚀刻工艺会形成残留物或钝化物。
发明内容
为了实现上述意图并按照本发明的目的,提供了一种用于将特征蚀刻到含硅蚀刻层中的方法。将所述蚀刻层放置到等离子体处理室中。使蚀刻气体流入所述等离子体处理室。使所述蚀刻气体形成蚀刻等离子体,其中使所述含硅蚀刻层暴露于所述蚀刻等离子体,并且其中所述蚀刻等离子体将特征蚀刻到所述含硅层中,留下含硅残留物。使蚀刻气体停止流入所述等离子体处理室。使干燥的清洁气体流入所述等离子体处理室,其中所述干燥的清洁气体包括NH3和NF3。使所述干燥的清洁气体形成等离子体,其中使所述含硅残留物暴露于所述干燥的清洁气体等离子体,并且其中所述含硅残留物中的至少一些形成含铵化合物。使所述干燥的清洁气体的流动停止。从所述等离子体处理室去除所述蚀刻层。
在本发明的另一种表现方式中,提供了一种用于将特征蚀刻到含硅蚀刻层中的方法。将所述蚀刻层放置到等离子体处理室中。使含卤素的蚀刻气体流入所述等离子体处理室。使所述含卤素的蚀刻气体形成蚀刻等离子体,其中使所述含硅蚀刻层暴露于所述蚀刻等离子体,并且其中所述蚀刻等离子体将特征蚀刻到含硅层中,留下含硅残留物,其中所述含硅残留物包含氧化硅,SiBrx、SiClx、SiON、SiOxFy、SiCO、SiOxCly、或SiOxBry中的至少一种,其中x和y为正整数。使蚀刻气体停止流入所述等离子体处理室。使干燥的清洁气体流入所述等离子体处理室,其中所述干燥的清洁气体包括NH3和NF3,其中所述干燥的清洁气体具有介于1:1到20:1之间的NH3比NF3的流量比。使所述干燥的清洁气体形成等离子体,其中使所述含硅残留物暴露于所述干燥的清洁气体等离子体,并且其中所述含硅残留物中的至少一些形成含铵化合物。使所述干燥的清洁气体的流动停止。在60℃至220℃之间的温度下,使所述含铵化合物升华。从所述等离子体处理室去除所述蚀刻层。
在本发明的另一种表现方式中,提供了一种用于将特征蚀刻到含硅蚀刻层中的装置。提供了一种等离子体处理室,其包括:形成等离子体处理室外壳的室壁;用于支撑在所述等离子体处理室外壳内的晶片的衬底支撑件;用于调节所述等离子体处理室外壳内的压力的压力调节器;用于提供功率至所述等离子体处理室外壳内以维持等离子体的至少一个电极;用于提供气体到所述等离子体处理室外壳内的气体入口;以及用于从所述等离子体处理室外壳排出气体的气体出口。至少一个RF功率源电连接到所述至少一个电极。加热器连接到所述等离子体处理室以用于加热所述含硅蚀刻层。气体源与所述气体入口流体连接。所述气体源包括:蚀刻气体源;NH3气体源;和NF3气体源。控制器可控地连接到所述气体源和所述至少一个RF功率源并且包括至少一个处理器和计算机可读介质。所述计算机可读介质包括:用于使蚀刻气体从所述蚀刻气体源流入所述等离子体处理室的计算机可读代码;用于将蚀刻气体转化成蚀刻等离子体的计算机可读代码,所述蚀刻等离子体将特征蚀刻到含硅蚀刻层中,留下含硅残留物;用于使所述蚀刻气体的流动停止的计算机可读代码;用于使干燥的清洁气体流入所述等离子体处理室中的计算机可读代码,所述干燥的清洁气体包括来自所述NH3气体源的NH3和来自NF3气体源的NF3;用于使所述干燥的清洁气体转化成干燥的清洁等离子体的计算机可读代码,所述干燥的清洁等离子体使所述含硅残留物中的至少一些转化成含铵化合物;用于使所述干燥的清洁气体的流动停止的计算机可读代码;以及用于加热所述含硅蚀刻层从而使所述含铵化合物升华的计算机可读代码。
下面将在本发明的具体实施方式中结合附图对本发明的这些以及其他特征进行更详细的描述。
附图说明
本发明在附图的图中通过示例的方式而非限制的方式进行说明,附图中相似的参考数字指的是相似的元件,其中:
图1是本发明的实施方式的高阶流程图。
图2A-D是根据本发明的实施方式处理的堆层的示意图。
图3是可以用于蚀刻的蚀刻反应器的示意图。
图4示出一种计算机系统,其适于实现用于本发明的实施方式中的控制器。
具体实施方式
现在将参考如附图中所阐释的本发明的一些优选的实施方式详细描述本发明。在以下的描述中,阐述了许多具体细节以提供对本发明的全面的理解。然而对本领域技术人员而言,显而易见,没有这些具体细节的一些或者全部也可以实施本发明。在其它示例中,没有详细描述公知的工艺步骤和/或结构以免不必要地使本发明难以理解。
为了便于理解,图1是在本发明的一个实施方式中使用的工艺的高阶流程图。将蚀刻层放置在等离子体处理室中(步骤104)。使蚀刻气体流入该等离子体处理室(步骤108)。使蚀刻气体形成等离子体(步骤112),等离子体蚀刻该蚀刻层并形成残留物,残留物可能是钝化的。使该蚀刻气体的流动停止(步骤116)。使包括NH3和NF3的干燥的清洁气体流入等离子体处理室(步骤120)。使所述干燥的清洁气体形成等离子体(步骤124),该等离子体将硅蚀刻残留物转化成含氨化合物。停止干燥的清洁气体的流动(步骤128)。将所述层加热,并且使含氨化合物升华(步骤132)。将该蚀刻层从等离子体处理室去除(步骤136)。
实施例
在本发明的优选实施方式的一个实施例中,将层放入等离子体处理室(步骤104)。图2A是堆层200的剖视图,堆层200含有带有掩模特征212的掩模208与配置于掩模208下方的含硅蚀刻层204。在本实施例中,该蚀刻层204是硅晶片。在其他实施方式中,该蚀刻层可以是形成在硅晶片上方的硅层或多晶硅层。
在一个实施方式中,所有处理可以在单个的等离子体蚀刻室中进行。图3是等离子体处理系统300的示意图,其包括等离子体处理工具301。等离子体处理工具301是电感耦合等离子体蚀刻工具,并包括等离子体反应器302,等离子体反应器302内具有等离子体处理室304。变压器耦合功率(TCP)控制器350和偏置功率控制器355分别控制TCP供应源351和偏置功率源356,从而影响等离子体处理室304内产生的等离子体324。
TCP控制器350设置用于TCP供应源351的设定点,TCP供应源351被配置为提供13.56MHz的射频信号(通过TCP匹配网络352调谐)到位于等离子体处理室304附近的TCP线圈353。提供RF透明窗354来将等离子体处理室304与TCP线圈353分离,同时使能量能够从TCP线圈353传送到等离子体处理室304。
偏置功率控制器355设置用于偏压功率源356的设定点,偏压功率源356被配置为供应通过偏置匹配网络357调谐的RF信号到位于等离子体处理室304内的卡盘电极308,以在电极308上产生直流(DC)偏置,电极308适于接收正在处理中的具有特征层204的晶片。
一种气体供应机构或气体源310包括经由气体歧管317连接的一种或多种气体的一个或多个源316以供应工艺所需的适当的化学物至等离子体处理室304的内部。在本实施例中,该气体源316包括至少蚀刻气体源381、和NH3气体源382、以及NF3气体源383。气体排放机构318包括压力控制阀319和排放泵320,从该等离子体处理室304中去除颗粒,并维持等离子体处理室304内的特定压力。
一种温度控制器380通过控制制冷功率源384来控制设置在卡盘电极308内的制冷再循环系统的温度。等离子体处理系统还包括电子控制电路370,电子控制电路370可以用于控制偏置功率控制器355、TCP控制器350、温度控制器380以及其它的控制系统。提供加热器371来加热卡盘电极308,以加热含硅蚀刻层204。等离子体处理系统300还可以具有端点检测器。这种感应耦合系统的一个实施例是由Lam Research Corporation(Frement,CA)建造的Kiyo,其用来蚀刻硅层、多晶硅层和导电层。在本发明的其他实施方式中,可使用电容耦合系统。
图4是表示计算机系统400的高级框图,其适合用于实现在本发明的实施方式中使用的控制电路370。该计算机系统可具有许多物理形式,范围从集成电路、印刷电路板和小型手持设备到巨型超级计算机。该计算机系统400包括一个或多个处理器402,并且还可以包括电子显示装置404(用于显示图形、文本和其他数据)、主存储器406(例如,随机存取存储器(RAM))、存储装置408(例如,硬盘驱动器)、可移动存储装置410(例如,光盘驱动器)、用户接口装置412(例如,键盘、触摸屏、键盘、鼠标或其他定点设备等)、以及通信接口414(例如,无线网络接口)。通信接口414使得软件和数据能经由链路在计算机系统400和外部设备之间传输。该系统还可以包括通信基础设施416(例如,通信总线、交叉杆或网络),上述装置/模块连接至该通信基础设施416。
经由通信接口414传输的信息可以呈诸如能够经由承载信号并且可使用线缆或电缆、光纤、电话线、蜂窝电话链路、射频链路和/或其它通信信道实现的通信链路通过通信接口414接收的电子信号、电磁信号、光信号或其它信号等信号形式。通过这种通信接口,可以预期一个或多个处理器402可以在执行上述方法步骤的过程中接收来自网络的信息,或者可以在执行上述方法步骤的过程中将信息输出到网络。此外,本发明的方法实施方式可以仅在处理器上执行或者可以在诸如因特网之类的网络上结合共享一部分处理的远程处理器执行。
术语“非暂态计算机可读介质”一般用于指代诸如主存储器、辅助存储器、可移除存储设备和存储装置(诸如硬盘、闪存、盘驱动存储器、CD-ROM)以及其它形式的永久性存储器之类的介质,而不应当被解释为涵盖诸如载波或信号等暂态性标的物。计算机代码的示例包括诸如通过编译器生成的机器代码,以及含有通过计算机使用解释器执行的更高级代码的文件。计算机可读介质还可以是通过包含在载波中的计算机数据信号传送并且表示可由处理器执行的指令序列的计算机代码。
蚀刻气体从蚀刻气体源381流入等离子体处理室304(步骤108)。在本实施方式中,蚀刻气体包括含卤素组分。蚀刻气体配方的一个实施例会是HBr和O2
使蚀刻气体形成等离子体(步骤112)。在这个实施例中,提供在13.5MHz下的TCP功率,以使蚀刻气体形成等离子体。蚀刻层204通过等离子体蚀刻。提供0-3000伏的偏压。当所需的蚀刻通过等离子体完成时,停止该蚀刻气体的流动(步骤116)。
图2B是在蚀刻层已经被蚀刻而形成蚀刻特征216后,堆层200的横截面示意图。该蚀刻工艺已创造了含硅残留物220,含硅残留物220可以是含硅钝化物。含硅残留物可以是氧化硅(SiO或SiO2)、SiBrx、SiClx、SiON、SiOxFy、SiCO、SiOxCly、或SiOxBry,其中x和y为正整数。优选地,含硅残留物包含硅和氧两者。
为了清洁含硅残留物,使干燥的清洁气体从气体源316流入等离子体处理室304(步骤120)。在本实施例中,干燥的清洁气体包括来自NH3气体源382的50到1500sccm的NH3和来自NF3气体源的10-500sccm的NF3
使干燥的清洁气体形成等离子体(步骤124)。在这个实施例中,提供在13.5MHz下的TCP功率,以使干燥的清洁气体形成等离子体。提供0至500伏的偏压。该蚀刻层保持在-20℃-120℃。该配方提供低密度、低能量和低偏置等离子体。从干燥的清洁气体产生的等离子体将硅残留物转化成含铵化合物。使干燥的清洁气体的流动停止(步骤128)。图2C是在硅残留物转化成含铵化合物224后,堆层200的横截面示意图。优选地,在该过程中该蚀刻层204不被蚀刻。
使含氨化合物224升华(步骤132)。在本实施例中,蚀刻层204或堆层200被加热到使含氨化合物224升华的温度。在该实施例中,蚀刻层204或堆层200被加热到200℃的温度。图2D是在含铵化合物已升华后堆层200的横截面示意图。
该实施例提供了一种用于提供硅蚀刻和在同一室内去除所导致的硅残留物的方法和装置。这样的工艺消除了对单独的湿法清洁工艺的需要,湿法清洁工艺要求将晶片转移到湿式浴中。
优选地,干燥的清洁气体包括NH3和NF3。更优选地,干燥的清洁气体包括NH3、NF3和惰性气体。含铵化合物优选包括NH4F、NH4Br或NH4Cl。根据被去除钝化残余物的不同,反应的实施例可以如下:
NF3+NH3→NH4F+NH4F.HF
NH4F或NH4F.HF+SiO2→(NH4)2SiF6(固体)+H2O
(NH4)2SiF6(固体)→SiF4(气体)+NH3(气体)+HF(气体)
优选地,干燥清洁工艺提供介于0至1000伏特之间的偏压。更优选地,干燥清洁工艺提供介于0到500伏之间的偏压。优选地,在干燥清洁期间,NH3具有50sccm至1500sccm的流速。优选的是,在干燥清洁期间,NF3具有10sccm至500sccm的流速。优选地,NH3比NF3的流量比为1:1到20:1。更优选地,NH3比NF3的流量比为1:1到15:1。优选地,干燥清洁工艺在介于-20℃至120℃之间的温度下完成。
优选地,该升华通过将蚀刻层加热至大于60℃的温度来实现。更优选地,该升华通过将蚀刻层加热到介于60℃至220℃之间的温度来实现。
优选地,在蚀刻等离子体的形成过程中,提供0-3000伏特的偏压,并且将蚀刻层维持在介于-20℃至120℃之间的温度下。
在一个优选的实施方式中,使蚀刻特征形成浅沟槽隔离。在另一个优选的实施方式中,蚀刻特征被用于形成栅极。在其他实施方式中,所述蚀刻特征可以用于形成源极或漏极。更优选地,含硅层是纯硅或有掺杂物的纯硅。优选地,含硅层是硅。
在另一个实施方式中,在含铵化合物升华之前,将蚀刻层从室去除。在这样的实施方式中,可使用随后的在等离子体处理室外部的高温工艺(如退火工艺)来使含铵化合物升华。
尽管已经根据多个优选的实施方式对本发明进行了说明,但存在落在本发明的范围内的改动、置换、修改和各种替代的等同方案。还应当注意的是,存在实现本发明的方法和装置的多种可选方式。因此,意图是,随附的权利要求书被解释为包含落在本发明的主旨和范围内的全部这些改动、置换和各种替代的等同方案。

Claims (21)

1.一种用于将特征蚀刻到在等离子体处理室中的含硅蚀刻层中并清洁所述含硅蚀刻层的方法,所述等离子体处理室具有外部TCP线圈和衬底支撑件,其包括:
将所述含硅蚀刻层放置到所述等离子体处理室中;
使蚀刻气体流入所述等离子体处理室;
将TCP功率从所述TCP线圈提供到所述等离子体处理室以在所述等离子体处理室中使所述蚀刻气体形成蚀刻等离子体,所述蚀刻等离子体位于所述外部TCP线圈和所述衬底支撑件之间,其中所述含硅蚀刻层暴露于所述蚀刻等离子体,并且其中所述蚀刻等离子体将特征蚀刻到所述含硅蚀刻层中,留下含硅残留物;
使蚀刻气体停止流入所述等离子体处理室;
使干燥的清洁气体流入所述等离子体处理室,其中所述干燥的清洁气体包括NH3和NF3
将TCP功率从所述TCP线圈提供到所述等离子体处理室以在所述等离子体处理室中使所述干燥的清洁气体在所述外部TCP线圈和所述衬底支撑件之间形成干燥的清洁气体等离子体,其中所述含硅残留物暴露于所述干燥的清洁气体的等离子体,并且其中所述含硅残留物中的至少一些形成含铵化合物;
使所述干燥的清洁气体的流动停止;以及
从所述等离子体处理室去除所述含硅蚀刻层。
2.根据权利要求1所述的方法,其中所述干燥的清洁气体还包括惰性气体。
3.根据权利要求2所述的方法,其还包括在从所述等离子体处理室去除所述含硅蚀刻层之前使所述含铵化合物升华。
4.根据权利要求3所述的方法,其中所述干燥的清洁气体具有介于1:1到20:1之间的NH3比NF3的流量比。
5.根据权利要求4所述的方法,在使所述干燥的清洁气体形成干燥的清洁气体等离子体期间,提供介于0至1000伏之间的偏压。
6.根据权利要求5所述的方法,其中在使所述含铵化合物升华期间,使所述含硅蚀刻层保持在60℃至220℃之间的温度下。
7.根据权利要求6所述的方法,其中所述含硅蚀刻层是硅衬底、浅沟槽隔离层、源极层、漏极层、或者多晶硅层。
8.根据权利要求6所述的方法,其中所述含硅蚀刻层是硅晶片或者栅极。
9.根据权利要求7或8所述的方法,其中所述蚀刻气体是含卤素的蚀刻气体。
10.根据权利要求1所述的方法,其还包括从所述等离子体处理室去除所述含硅蚀刻层之前使所述含铵化合物升华。
11.根据权利要求10所述的方法,其中在使所述含铵化合物升华期间,使所述含硅蚀刻层保持在60℃至220℃之间的温度下。
12.根据权利要求1所述的方法,其中所述干燥的清洁气体具有介于1:1到20:1之间的NH3比NF3的流量比。
13.根据权利要求1所述的方法,在使所述干燥的清洁气体形成干燥的清洁气体等离子体期间,提供介于0至1000伏之间的偏压。
14.根据权利要求1所述的方法,其中所述含硅蚀刻层是硅衬底、浅沟槽隔离层、源极层、漏极层、或者多晶硅层。
15.根据权利要求1所述的方法,其中所述含硅蚀刻层是硅晶片或者栅极。
16.根据权利要求1所述的方法,其中所述蚀刻气体是含卤素的蚀刻气体。
17.根据权利要求1所述的方法,其中所述含硅残留物包含氧化硅,SiBrx、SiClx、SiON、SiOxFy、SiCO、SiOxCly、或SiOxBry中的至少一种,其中x和y为正整数。
18.一种用于将特征蚀刻到在等离子体处理室中的含硅蚀刻层中并清洁所述含硅蚀刻层的方法,所述等离子体处理室具有外部TCP线圈和衬底支撑件,其包括:
将所述含硅蚀刻层放置到所述等离子体处理室中;
使含卤素的蚀刻气体流入所述等离子体处理室;
将TCP功率从所述TCP线圈提供到所述等离子体处理室以在所述等离子体处理室中使所述含卤素的蚀刻气体形成蚀刻等离子体,所述蚀刻等离子体位于所述外部TCP线圈和所述衬底支撑件之间,其中所述含硅蚀刻层暴露于所述蚀刻等离子体,并且其中所述蚀刻等离子体将特征蚀刻到所述含硅蚀刻层中,留下含硅残留物,其中所述含硅残留物包含氧化硅,SiBrx、SiClx、SiON、SiOxFy、SiCO、SiOxCly、或SiOxBry中的至少一种,其中x和y为正整数;
使含卤素的蚀刻气体停止流入所述等离子体处理室;
使干燥的清洁气体流入所述等离子体处理室,其中所述干燥的清洁气体包括NH3和NF3,其中所述干燥的清洁气体具有介于1:1到20:1之间的NH3比NF3的流量比;
将TCP功率从所述TCP线圈提供到所述等离子体处理室以使所述干燥的清洁气体在所述外部TCP线圈和所述衬底支撑件之间形成干燥的清洁气体等离子体,其中所述含硅残留物暴露于所述干燥的清洁气体的等离子体,并且其中所述含硅残留物中的至少一些形成含铵化合物;
使所述干燥的清洁气体的流动停止;
在60℃至220℃之间的温度下,使所述含铵化合物升华;以及
从所述等离子体处理室去除所述含硅蚀刻层。
19.根据权利要求18所述的方法,其中所述含硅蚀刻层是硅衬底、浅沟槽隔离层、源极层、漏极层、或者多晶硅层。
20.根据权利要求18所述的方法,其中所述含硅蚀刻层是硅晶片或者栅极。
21.一种用于将特征蚀刻到含硅蚀刻层中并清洁所述含硅蚀刻层的装置,其包括:
等离子体处理室,其包括:
外部TCP线圈;
形成等离子体处理室外壳的室壁;
用于支撑在所述等离子体处理室外壳内的晶片的衬底支撑件;
用于调节所述等离子体处理室外壳内的压力的压力调节器;
用于提供功率至所述等离子体处理室外壳内以维持等离子体的至少一个电极;
用于提供气体到所述等离子体处理室外壳内的气体入口;以及
用于从所述等离子体处理室外壳排出气体的气体出口;
电连接到所述至少一个电极的至少一个RF功率源;
用于加热所述含硅蚀刻层的加热器;
与所述气体入口流体连接的气体源,所述气体源包括:
蚀刻气体源;
NH3气体源;和
NF3气体源;和
可控地连接到所述气体源和所述至少一个RF功率源的控制器,其包括:
至少一个处理器;和
计算机可读介质,其包括:
用于使蚀刻气体从所述蚀刻气体源流入所述等离子体处理室的计算机可读代码;
用于将TCP功率从所述TCP线圈提供到所述等离子体处理室以在所述等离子体处理室中使所述蚀刻气体形成蚀刻等离子体的计算机可读代码,所述蚀刻等离子体位于所述外部TCP线圈和所述衬底支撑件之间,所述蚀刻等离子体将特征蚀刻到所述含硅蚀刻层中,留下含硅残留物;
用于使所述蚀刻气体停止流动的计算机可读代码;
用于使干燥的清洁气体流入所述等离子体处理室中的计算机可读代码,所述干燥的清洁气体包括来自所述NH3气体源的NH3和来自所述NF3气体源的NF3
用于将TCP功率从所述TCP线圈提供到所述等离子体处理室以在所述等离子体处理室中使所述干燥的清洁气体在所述外部TCP线圈和所述衬底支撑件之间形成干燥的清洁气体等离子体的计算机可读代码,所述干燥的清洁气体等离子体使所述含硅残留物中的至少一些转化成含铵化合物;
用于使所述干燥的清洁气体的流动停止的计算机可读代码;以及
用于加热所述含硅蚀刻层从而使所述含铵化合物升华的计算机可读代码。
CN201510965135.6A 2014-12-19 2015-12-21 硅蚀刻与清洁 Active CN105719950B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/576,978 2014-12-19
US14/576,978 US20160181111A1 (en) 2014-12-19 2014-12-19 Silicon etch and clean

Publications (2)

Publication Number Publication Date
CN105719950A CN105719950A (zh) 2016-06-29
CN105719950B true CN105719950B (zh) 2019-05-17

Family

ID=56130284

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510965135.6A Active CN105719950B (zh) 2014-12-19 2015-12-21 硅蚀刻与清洁

Country Status (6)

Country Link
US (1) US20160181111A1 (zh)
JP (1) JP2016136617A (zh)
KR (1) KR20160075330A (zh)
CN (1) CN105719950B (zh)
SG (1) SG10201510080RA (zh)
TW (1) TWI709171B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016130795A1 (en) * 2015-02-12 2016-08-18 Massachusetts Institute Of Technology Methods and apparatus for variable selectivity atomic layer etching
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US20190157051A1 (en) * 2017-11-20 2019-05-23 Lam Research Corporation Method for cleaning chamber
US10714329B2 (en) * 2018-09-28 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-clean for contacts
CN111933566A (zh) * 2020-09-24 2020-11-13 晶芯成(北京)科技有限公司 浅沟槽隔离结构的形成方法
CN115672874A (zh) * 2021-07-30 2023-02-03 江苏鲁汶仪器股份有限公司 一种等离子体处理方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101903984A (zh) * 2007-12-21 2010-12-01 应用材料股份有限公司 利用等离子体清洁处理形成钝化层以降低自然氧化物生长的方法
CN102931130A (zh) * 2011-08-11 2013-02-13 应用材料公司 灰化后侧壁修复

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6939811B2 (en) * 2002-09-25 2005-09-06 Lam Research Corporation Apparatus and method for controlling etch depth
US8173547B2 (en) * 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US8501629B2 (en) * 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20120220116A1 (en) * 2011-02-25 2012-08-30 Applied Materials, Inc. Dry Chemical Cleaning For Semiconductor Processing
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
JP5507654B2 (ja) * 2012-11-30 2014-05-28 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9230819B2 (en) * 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
JP6083315B2 (ja) * 2013-05-08 2017-02-22 株式会社デンソー 物理量センサの製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101903984A (zh) * 2007-12-21 2010-12-01 应用材料股份有限公司 利用等离子体清洁处理形成钝化层以降低自然氧化物生长的方法
CN102931130A (zh) * 2011-08-11 2013-02-13 应用材料公司 灰化后侧壁修复

Also Published As

Publication number Publication date
US20160181111A1 (en) 2016-06-23
JP2016136617A (ja) 2016-07-28
TW201640578A (zh) 2016-11-16
TWI709171B (zh) 2020-11-01
CN105719950A (zh) 2016-06-29
KR20160075330A (ko) 2016-06-29
SG10201510080RA (en) 2016-07-28

Similar Documents

Publication Publication Date Title
CN105719950B (zh) 硅蚀刻与清洁
TWI654760B (zh) 具有組合遮罩之高深寬比蝕刻
CN104620363B (zh) 差别氧化硅蚀刻
TWI528447B (zh) 利用化學氣相沉積進行鈍化之矽蝕刻
KR20160084314A (ko) 이방성 텅스텐 에칭을 위한 방법 및 장치
JP2016157940A (ja) 窒化シリコンのエッチング時における超高選択比を達成するための方法
TW201635381A (zh) 選擇性氮化物蝕刻
JP7023376B2 (ja) 単一プラズマ室における、フィン電界効果トランジスタ形成のための原子層堆積及びエッチング
TW201205669A (en) Inorganic rapid alternating process for silicon etch
TW201801184A (zh) 蝕刻介電層中之特徵部的方法
US20170248849A1 (en) Implanted Photoresist Stripping Process
TWI700747B (zh) 無殘留物之氧化層蝕刻
CN107516693B (zh) 一种晶硅太阳能电池抛光片的加工方法
CN107919264A (zh) 有关有机掩模的用于选择性地蚀刻氧化硅的方法
TW201707087A (zh) 梯階結構之形成方法
CN115298798A (zh) 调节等离子体处理室的方法
JP6821292B2 (ja) タングステン含有層をエッチングする方法
CN101567313A (zh) 栅极制造方法
TWI591718B (zh) 無頂部降低之氮化矽乾式修整
TWI682427B (zh) 用以等向性蝕刻緊密空間中的矽之無殘留物的系統及方法
CN104835787A (zh) 双栅氧器件的制造方法和双栅氧器件
TW201828360A (zh) 高深寬比蝕刻
Kang et al. Study on the Etching Selectivity of Oxide Films in Dry Cleaning Process with NF3 and H2O
CN104269371A (zh) 一种刻蚀装置与方法
TW202135125A (zh) 具有多個電漿單元的處理腔室

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant