CN105679741A - 半导体封装件及其形成方法 - Google Patents

半导体封装件及其形成方法 Download PDF

Info

Publication number
CN105679741A
CN105679741A CN201510656984.3A CN201510656984A CN105679741A CN 105679741 A CN105679741 A CN 105679741A CN 201510656984 A CN201510656984 A CN 201510656984A CN 105679741 A CN105679741 A CN 105679741A
Authority
CN
China
Prior art keywords
layer
hole
opening
crystal seed
carrier substrates
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510656984.3A
Other languages
English (en)
Other versions
CN105679741B (zh
Inventor
余振华
刘重希
林志伟
郑明达
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN105679741A publication Critical patent/CN105679741A/zh
Application granted granted Critical
Publication of CN105679741B publication Critical patent/CN105679741B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/27Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49805Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers the leads being also applied on the sidewalls or the bottom of the substrate, e.g. leadless packages for surface mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60022Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
    • H01L2021/6006Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting with temporary supporting member not part of an apparatus, e.g. removable coating, film or substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/20Structure, shape, material or disposition of high density interconnect preforms
    • H01L2224/21Structure, shape, material or disposition of high density interconnect preforms of an individual HDI interconnect
    • H01L2224/2101Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/20Structure, shape, material or disposition of high density interconnect preforms
    • H01L2224/21Structure, shape, material or disposition of high density interconnect preforms of an individual HDI interconnect
    • H01L2224/214Connecting portions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/27Manufacturing methods
    • H01L2224/273Manufacturing methods by local deposition of the material of the layer connector
    • H01L2224/2733Manufacturing methods by local deposition of the material of the layer connector in solid form
    • H01L2224/27334Manufacturing methods by local deposition of the material of the layer connector in solid form using preformed layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92242Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92244Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1035All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the device being entirely enclosed by the support, e.g. high-density interconnect [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/141Analog devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1431Logic devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1432Central processing unit [CPU]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • H01L2924/1435Random access memory [RAM]
    • H01L2924/1436Dynamic random-access memory [DRAM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • H01L2924/1435Random access memory [RAM]
    • H01L2924/1437Static random-access memory [SRAM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1532Connection portion the connection portion being formed on the die mounting surface of the substrate
    • H01L2924/1533Connection portion the connection portion being formed on the die mounting surface of the substrate the connection portion being formed both on the die mounting surface of the substrate and outside the die mounting surface of the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

提供了一种半导体器件和用于形成半导体器件的方法。半导体器件包括:具有邻近集成电路管芯的通孔的集成电路,其中,模塑料置于集成电路管芯和通孔之间。通孔具有延伸穿过图案化层的突出件,并且通孔可以从图案化层的表面偏移。通过选择性地去除晶种层可以形成凹槽,其中,晶种层用于形成通孔。本发明涉及半导体封装件及其形成方法。

Description

半导体封装件及其形成方法
相关申请的交叉引用
本申请要求于2014年12月3日提交的题目为“SemiconductorPackagesandMethodsofFormingtheSame”的美国临时专利申请第62/087,167号的优先权和益处,其全部内容通过引用结合于此,作为参考。
技术领域
本发明涉及半导体封装件及其形成方法。
背景技术
半导体器件用于各种电子应用中,诸如个人计算机、手机、数码相机、以及其他电子设备。通常通过在半导体衬底上方依次沉积绝缘层或介电层、导电层和半导体材料层并且使用光刻以图案化各个材料层以在其上形成电路组件和元件来制造半导体器件。通常在单个半导体晶圆上制造几十个或数百个集成电路。通过沿着划线锯切集成电路来分割单独的管芯。然后将单独的管芯以多芯片模式或以其他封装类型分别地封装。
由于各种电子组件(例如,晶体管、二极管、电阻器、电容器等)的集成密度不断提高,半导体产业经历了快速的发展。在大多数情况下,这种集成密度的提高源自最小部件尺寸的不断减小(例如,将半导体工艺节点向着亚20nm节点缩减),这使得更多的组件集成至给定的区域内。随着近来对微型化、更高速度、更大带宽以及更低功耗和延迟的要求的提高,对半导体管芯的更小和更具创造性的封装技术的需要也不断增加。
随着半导体技术的进一步发展,堆叠的半导体器件,例如,三维集成电路(3DIC)已经作为用于进一步降低半导体器件的物理尺寸的有效替代而出现。在堆叠的半导体器件中,在不同的半导体晶圆上制造诸如逻辑电路、存储器电路、处理器电路等的有源电路。两个以上的半导体晶圆可以安装或堆叠在彼此的顶部上以进一步减小半导体器件的形式因数。叠层封装(POP)器件是3DIC的一种类型,其中,封装管芯并且然后将其与另一个或一些封装的管芯封装在一起。
发明内容
为了解决现有技术中存在的问题,根据本发明的一个方面,提供了一种制造半导体器件的方法,所述方法包括:在载体衬底上方形成第一层;在所述第一层中形成第一开口;在所述第一层上方形成通孔,所述通孔延伸至所述第一开口内;在所述第一层上方放置集成电路;在所述第一层上方形成模塑料,所述模塑料沿着所述集成电路和所述通孔的侧壁延伸;在所述集成电路和所述通孔上形成重分布层;以及去除所述载体衬底。
在上述方法中,还包括:在所述第一开口中形成一个或多个晶种层。
在上述方法中,还包括:在去除所述载体衬底之后,去除所述一个或多个晶种层的至少一个。
在上述方法中,去除所述一个或多个晶种层的至少一个形成了凹槽。
在上述方法中,所述第一开口呈负锥形。
在上述方法中,所述第一开口呈正锥形。
在上述方法中,还包括:在所述重分布层上放置第一焊球和在所述通孔上放置第二焊球。
在上述方法中,还包括:在所述载体衬底上方形成所述第一层之前,在所述载体衬底上方形成牺牲层,其中,所述第一层形成在所述牺牲层上方。
根据本发明的另一方面,还提供了一种制造半导体器件的方法,所述方法包括:在载体衬底上形成第一层;在所述第一层中形成开口;沿着所述开口的侧壁和底部形成一个或多个晶种层;在所述晶种层上形成通孔,所述通孔延伸至所述开口内;在所述第一层上放置集成电路;在所述第一层上形成模塑料,所述模塑料置于所述集成电路和所述通孔之间;以及去除所述载体衬底。
在上述方法中,还包括:在所述载体衬底上方形成所述第一层之前,在所述载体衬底上方形成牺牲层,其中,所述第一层形成在所述牺牲层上方。
在上述方法中,还包括:在去除所述载体衬底之后,去除至少一个晶种层,所述去除形成了凹槽。
在上述方法中,所述凹槽的深度为约0.01μm至约1μm。
在上述方法中,所述凹槽呈负锥形,所述负锥形的负锥角为约5度至约85度。
在上述方法中,所述凹槽呈正锥形,所述正锥形的正锥角为约5度至约85度。
在上述方法中,还包括:在去除所述载体衬底之后,去除至少一个晶种层,所述去除形成了凹槽。
在上述方法中,所述凹槽的深度为约0.01μm至约5μm。
在上述方法中,还包括:在所述通孔上形成焊料接点,所述焊料接点延伸至所述凹槽内。
根据本发明的又一方面,还提供了一种半导体器件,包括:第一层,具有开口;集成电路,位于所述第一层上;密封剂,位于邻近所述集成电路的所述第一层上;以及通孔,延伸穿过所述密封剂,所述通孔延伸至所述开口内,延伸穿过所述密封剂的所述通孔的宽度大于延伸至所述开口内的所述通孔的宽度。
在上述半导体器件中,还包括:一个或多个晶种层,沿着所述开口的侧壁延伸,其中,所述一个或多个晶种层的至少一个不在所述开口中的所述通孔的底面上方延伸。
在上述半导体器件中,所述开口具有锥形侧壁。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明。应该强调的是,根据工业中的标准实践,各种部件没有按比例绘制。实际上,为了清楚讨论,各个部件的尺寸可以任意增大或减小。
图1至图16是根据一些实施例的形成半导体器件的各个中间步骤的截面图。
图17A至图17C示出了根据一些实施例的用于通孔的开口轮廓的各个截面图。
图18至图31是根据一些实施例的形成半导体器件的各个中间步骤的截面图。
具体实施方式
以下公开内容提供了许多用于实现所提供主题的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件形成为直接接触的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实例中重复参考标号和/或字母。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等的空间相对术语,以便于描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),而在此使用的空间相对描述符可以同样地作相应的解释。
将结合具体上下文中的实施例来描述实施例,即,三维(3D)集成扇出(InFO)叠层封装(PoP)器件。然而,其他实施例也可以应用于其他电连接的组件,包括但不限于,叠层封装组件、管芯至管芯组件、晶圆至晶圆组件、管芯至衬底组件、装配封装中、处理衬底中、中介层、衬底等或安装输入组件、板、管芯或其他组件或用于连接封装或安装任何类型的集成电路或电组件的组合。
图1至图16示出了根据一些实施例的形成半导体器件的中间步骤的截面图。图1是载体衬底40的截面图。例如,载体衬底40包括硅基材料,诸如硅晶圆、玻璃或氧化硅,或者诸如氧化铝、陶瓷材料的其他材料、这些材料的任何组合等。在一些实施例中,载体衬底40是平坦的以便适应进一步的处理。在一些实施例中,载体衬底40可以是晶圆,多个封装件结构形成在该晶圆上。载体衬底40可以是为载体衬底40上方的层提供机械支撑(在制造工艺的中间操作期间)的任何合适的衬底。
图2是根据一些实施例的位于载体衬底40上的释放层42的截面图。释放层42可以由聚合物基材料形成,释放层42和载体衬底40可以从将在随后的步骤中形成的上面的结构去除。在一些实施例中,释放层42是环氧基热释放材料,当环氧基热释放材料被加热时而失去其粘合性能,环氧基热释放材料诸如光热转换(LTHC)释放涂层。在其他实施例中,释放层42可以是紫外(UV)胶,当紫外(UV)胶暴露于UV光时,而失去其粘合性能。释放层42可以作为液体分配和固化,其可以是层压至载体衬底40上的层压膜等。
图3是根据一些实施例的位于释放层42上的第一图案化层44的截面图。如将在下面更详细地讨论的,第一图案化层44被图案化为具有开口,在随后的工艺中形成的通孔将在该开口中延伸。第一图案化层44可以是聚合物(诸如聚苯并恶唑(PBO)、聚酰亚胺、苯并环丁烯(BCB)等)、氮化物(诸如氮化硅等)、氧化物(诸如氧化硅、磷硅酸盐玻璃(PSG)、硼硅酸盐玻璃(BSG)、硼掺杂的磷硅酸盐玻璃(BPSG)或它们的组合等),等并且可以,例如,通过旋涂、层压、化学汽相沉积(CVD)等形成。在一些实施例中,第一图案化层44是光刻胶材料并且通过图案化的掩模将光刻胶材料暴露于光而图案化,从而在光刻胶材料中创建第一开口47。
图4是根据一些实施例的随后在第一图案化层44和部分的释放层42上方形成的通孔的晶种层46的截面图。可以在第一图案层44上方以及第一开口47中形成晶种层46,第一开口47形成在第一图案化层44中。在一些实施例中,晶种层46是金属层,其可以是单层或包括多个由不同的材料形成的子层的复合层。晶种层46可以由铜、钛、镍、金或它们的组合等制成。在一些实施例中,该晶种层46包括钛层和位于钛层上方的铜层。例如,可以使用物理汽相沉积(PVD)、化学汽相沉积(CVD)、原子层沉积(ALD)、它们的组合等形成晶种层46。晶种层46可以包括一层或多层。
如将在下面更详细地讨论的,将利用晶种层46以形成通孔,在形成通孔之后,可以去除晶种层46的部分以形成凹槽。晶种层46的厚度,或者如果利用复合晶种层,则一层或多层复合晶种层可以用于控制从第一图案化层44的底面至通孔50(见图6)的深度。因此,可以选择晶种层46的厚度和材料以帮助控制凹槽。例如,在一些实施例中,晶种层46可以包括钛层和上面的铜层。在该实施例中,可以选择性地去除钛层,从而生成凹槽并且暴露出铜层。在一些实施例中,第一晶种层(例如,钛层)具有约0.01μm至约5μm的厚度,并且第二晶种层(例如,铜层)具有约0.01μm至约5μm的厚度。在其他实施例中,可以利用其他材料。
图5是根据一些实施例的第二图案化层48的截面图,第二图案化层48位于晶种层46上方并且具有第二开口49以暴露出第一开口47的至少部分。可以通过诸如旋涂工艺的湿工艺,或者通过干工艺或者施加干膜来形成第二图案化层48,并且第二图案化层48可以暴露于光以用于图案化。图案化形成穿过第二图案化层48的第二开口49以暴露出晶种层46的部分和第一开口47,并且第二开口49的宽度可以宽于第一开口47的宽度。在一些实施例中,第二图案化层48包括光刻胶层,并且使用光刻技术被图案化。在其他实施例中,可以将诸如氧化硅或氮化硅的其他材料用作第二图案化层48。
图6是根据一些实施例的导电材料填充第二图案化层48的第一开口47(见图3)和第二开口49(见图5)以形成通孔50的截面图,其中,第一开口47(见图3)和第二开口49(见图5)位于晶种层46的暴露部分上。可以通过诸如电镀或化学镀等的镀形成导电材料。导电材料可以包括金属,如铜、钛、钨、铝或它们的组合等,并且可以具有包括多层的复合结构。如图6所示,通孔50包括具有第一宽度w1的主体部分和具有由于通孔50延伸穿过第一图案化层44的第二宽度w2的狭窄突出件。通孔50包括具有介于第一宽度w1和第二宽度w2之间的宽度w3的凸耳或凹槽。通孔50的第一宽度w1可以在从约20μm至约500μm的范围内,第二宽度w2可以在从约20μm至约500μm的范围内,并且第三宽度w3可以在从约0μm至约100μm的范围内。通孔50的主体部分的第一高度h1可以在从约20μm至约1000μm的范围内,并且通孔50的狭窄突出件的第二高度h2可以在从约0.01μm至约50μm的范围内。
图7是根据一些实施例的在去除第二图案化层48(见图6)之后的通孔50的截面图。在一些实施例中,其中,第二图案化层48包括光刻胶材料,可以通过诸如使用氧等离子体等的可接受的灰化或剥离工艺去除第二图案化层48,并且也可以通过丙酮、异丙醇和去离子水等冲洗来去除第二图案化层48。一旦去除第二图案化层48,则暴露出晶种层46的未被通孔50覆盖的部分。
图8根据一些实施例示出了去除暴露的晶种层46的去除。例如,可以通过使用诸如通过湿或干蚀刻的可接受的蚀刻工艺来去除暴露的晶种层46,从而暴露出第一图案化层44的至少部分。
图9根据一些实施例示出了将集成电路管芯52附接至第一图案化层44。在一些实施例中,集成电路管芯52可以通过诸如管芯附接膜(DAF)的粘合剂54附接至第一图案化层44。粘合剂54的厚度可以在从约0.01μm至约100μm的范围内。集成电路管芯52可以是如图9所示的单个管芯,或者在一些实施例中,可以附接两个以上的管芯,并且其可以包括适用于特定方法的任何管芯。例如,集成电路管芯52可以包括静态随机存取存储器(SRAM)芯片或动态随机存取存储器(DRAM)芯片、处理器、存储器芯片、逻辑芯片、模拟芯片、数字芯片、中央处理单元(CPU)、图形处理单元(GPU)或它们的组合等。集成电路管芯52可以附接至合适的位置以用于特定的设计或应用。例如,图9示出了其中集成电路管芯52安装在中心区域中的实施例,其中,通孔50设置在外围周围。在其他实施例中,集成电路管芯52可以从中心偏移。在附接至第一图案化层44之前,可以根据适用的制造工艺处理集成电路管芯52以在集成电路管芯52中形成集成电路。
在一些实施例中,该集成电路管芯52安装至第一图案化层44,从而使得管芯连接件56面向为远离于第一图案化层44或位于第一图案化层44的远端。该管芯连接件56提供至形成在集成电路管芯52上的电路的电连接。管芯连接件56可以形成在集成电路管芯52的有源侧上或可以形成在背侧上并且包括通孔。管芯连接件56可以进一步包括在集成电路管芯52的第一侧和第二侧之间提供电连接的通孔。在实施例中,管芯连接件56的导电材料是铜、钨、铝、银、金、锡、它们的组合等。
图10根据一些实施例示出了通过密封剂58来密封集成电路管芯52和通孔50。将密封剂58放置在集成电路管芯52之间的间隙中以及通孔50周围。例如,使用压缩模制可以将密封剂58模制在集成电路管芯52和通孔50上。在一些实施例中,密封剂58是由模塑料、聚合物、环氧树脂、氧化硅填充材料等或它们的组合制成的。可以实施固化步骤以固化和凝固密封剂58,其中,固化可以是热固化、UV固化等或它们的组合。可以使用诸如层压、压缩模制等的其他密封工艺。
在一些实施例中,模制材料完全覆盖集成电路管芯52的上表面。在这些实施例中,可以对模制材料58实施诸如研磨的平坦化步骤以暴露出集成电路管芯52和管芯连接件56。在一些实施例中,管芯连接件56的表面和通孔50的表面与模制材料58的表面相平。通孔50可以称为模制通孔(TMV)、封装件通孔(TPV)和/或InFO(集成的扇出)通孔(TIV)。
图11根据一些实施例示出了重分布结构60的形成。重分布结构60可以包括任何数量的介电层、金属化图案和通孔。例如,图11示出了其中重分布结构60包括具有相应的金属化图案和通孔的三个介电层62、64、66的实施例,这将在下文进行论述,但是其他实施例可以具有更多或更少的介电层。
第一介电层62形成在密封剂58和管芯连接件56上。在一些实施例中,第一介电层62是由聚合物形成的,该聚合物可以是诸如聚苯并恶唑(PBO)、聚酰亚胺、苯并环丁烯(BCB)等的光敏材料,并且可以使用光刻来图案化该光敏材料。在其他实施例中,第一介电层62是由诸如氮化硅的氮化物、诸如氧化硅的氧化物、磷硅酸盐玻璃(PSG)、硼硅酸盐玻璃(BSG)、硼掺杂的磷硅酸盐玻璃(BPSG)等形成的。可以通过旋涂、层压、化学汽相沉积(CVD)等或它们的组合形成第一介电层62。然后图案化第一介电层62以形成开口,从而暴露出管芯连接件56和通孔50的部分。可以通过可接受的工艺来实施图案化,诸如当介电层是光敏材料时通过将第一介电层62暴露于光或者通过蚀刻,例如,使用图案化的掩模和各向异性蚀刻。
在第一介电层62上形成具有通孔72的第一金属化图案70。作为用于形成第一金属化图案70和通孔72的实例,在第一介电层62上方以及在开口中形成晶种层(未示出),其中,开口形成于第一介电层62中。在一些实施例中,该晶种层是金属层,该金属层可以是单层或复合层,复合层包括由不同材料形成的多个子层。在一些实施例中,该晶种层包括钛层和位于钛层上方的铜层。例如,可以使用物理汽相沉积(PVD)等形成晶种层。然后根据所需的重分布图案,在晶种层上形成并且图案化掩模。在一些实施例中,该掩模是通过旋涂等形成的光刻胶并且暴露于光以用于图案化。掩模的图案对应于具有通孔72的第一金属化图案70。图案化形成穿过掩模的开口,以暴露出该晶种层。在掩模的开口中以及在晶种层的暴露部分上形成导电材料。可以通过诸如电镀或化学镀等的镀来形成导电材料。导电材料可以包括金属,如铜、钛、钨、铝等。然后,去除光刻胶和晶种层的其上没有形成导电材料的部分。可以通过诸如使用氧等离子体等的可接受的灰化或剥离工艺来去除光刻胶。一旦去除光刻胶,诸如通过使用湿或干蚀刻的可接受的蚀刻工艺,去除晶种层的暴露部分。晶种层和导电材料的剩余部分形成第一金属化图案70和通孔72。在第一介电层62上方形成第二介电层64以为随后的层提供更平坦的表面。在一些实施例中,由聚合物、氮化物、氧化物等形成第二介电层64。在一些实施例中,该第二介电层64是通过旋涂工艺形成的PBO。
在第二介电层64和第一金属化图案70上形成第三介电层66、第二金属化图案68和通孔74。使用与上文论述的用于形成第一介电层62、第一金属化图案70和通孔72的类似的材料和类似的工艺可以形成第三介电层66、第二金属化图案68和通孔74。通孔74互连金属化图案68和70。在第三介电层66上以及第二金属化图案68周围形成第四介电层67。在一些实施例中,第四介电层67是由聚合物形成的,该聚合物可以是可以使用光刻掩模图案化的光敏材料,诸如PBO、聚酰亚胺、BCB等。在其他实施例中,第四介电层67是由诸如氮化硅、氧化硅、PSG、BSG、BPSG等的氮化物或氧化物形成的。可以通过旋涂、层压、CVD等或它们的组合形成第四介电层67。然后,图案化第四介电层67以创建第三开口71。可以通过可接受的工艺来实施图案化,诸如当介电层是光敏材料时通过将第四介电层67暴露于光或者通过蚀刻,例如,使用各向异性蚀刻。
重分布层60可以称为集成电路管芯52上的正侧重分布层。该正侧重分布层60可以用于提供至集成电路管芯52的外部电连接和/或用于将集成电路管芯52电连接至通孔50,该正侧重分布层60电连接至一个或多个其他封装件、封装衬底、组件等或它们的组合。在重分布层60中所示出的金属化层的数量仅仅是用于示出的目的并且不在于限制。可以存在与图11中示出的不同的任意数量的介电层和金属化图案。
图12根据一些实施例示出了在第三开口71(见图11)中的凸块下金属化(UBM)层75的形成。UBM75可以包括多个层,诸如钛层、接下来的铜层以及第三Ni层。在一些实施例中,UBM75可以包括钛(Ti)层、钽(Ta)层和氮化钽(TaN)层。可以通过电镀或化学镀方法图案化UBM焊盘。
图13示出了在UBM75上方的一组导电连接件76的形成,并且导电连接件76电连接至重分布层60。导电连接件76可以是焊球、金属柱、可控塌陷芯片连接(C4)凸块、微凸块、化学镀镍化学镀钯浸金技术(ENEPIG)形成的凸块、它们的组合(例如,具有附接的焊球的金属柱)等。导电连接件76可以包括导电材料,诸如焊料、铜、铝、金、镍、银、钯、锡等或它们的组合。在其中导电连接件76是焊料凸块的实施例中,首先通过诸如蒸发、电镀、印刷、焊料转移、球放置等的这些常用的方法来形成焊料层,从而形成导电连接件76。一旦已经在该结构上形成焊料层,则可以实施回流以将该材料成型为期望的凸块形状。在另一实施例中,导电连接件76是通过溅射、印刷、电镀、化学镀、CVD等形成的金属柱(诸如铜柱)。金属柱可以是无焊料的并且具有基本上垂直的侧壁。
图14根据一些实施例示出了去除载体衬底40和释放层42以暴露出第一图案化层44,以及去除位于通孔50上的晶种层46的一层或多层。在一些实施例中,去接合包括将诸如激光或UV光的光投射在释放层42(见图13)上,从而使得释放层在光的热量下分解并且可以去除载体衬底40。可以实施清洗和/或研磨工艺以去除释放层的残留部分。在另一实施例中,可以使用热处理、化学剥离工艺、激光去除、UV处理等或它们的组合。在载体衬底40和释放层42去接合之后,暴露出一层或多层晶种层46。通过诸如湿或干蚀刻的可接受的蚀刻工艺来去除一层或多层晶种层46。在去除暴露的晶种层之后,然后暴露出通孔50。在一些实施例中,一层或多层晶种层46可以保持在通孔50上方。晶种层46的去除的层的厚度将控制凹槽深度,其中,凹槽深度介于第一图案化层44的在密封剂58远端的表面和晶种层46和/或通孔50的暴露表面之间。下面将参考图17A至图17C来更详细地论述该凹槽。
图15示出了一组导电连接件78在通孔50上方的形成,并且该组导电连接件78电连接到通孔50。导电连接件78可以是焊球、金属柱、可控塌陷芯片连接(C4)凸块、微凸块、化学镀镍化学镀钯浸金技术(ENEPIG)形成的凸块等。导电连接件78可以包括导电材料,诸如焊料、铜、铝、金、镍、银、钯、锡等或它们的组合等。在其中导电连接件76是焊料凸块的实施例中,首先通过诸如蒸发、电镀、印刷、焊料转移、球放置等的这些常用的方法来形成焊料层,从而形成导电连接件78。一旦已经在该结构上形成焊料层,则可以实施回流以将该材料成型为期望的凸块形状。在另一实施例中,导电连接件78是通过溅射、印刷、电镀、化学镀、CVD等形成的金属柱(诸如铜柱)。金属柱可以是无焊料的并且具有基本上垂直的侧壁。导电连接件78的直径可以在从约20μm至约500μm的范围内。
图16根据一些实施例示出了用粘合材料82的额外的粘合支撑将导电连接件78电连接至衬底80。衬底80可以是任何衬底,诸如集成电路管芯、封装件、印刷电路板、中介层等。在一些实施例中,粘合材料82可以是环氧树脂或胶水,并且可以将粘合材料82应用于导电连接件78。在一些实施例中,导电连接件78可以直接附接至通孔50。光或UV光可以用于固化晶圆80和导电连接件78之间的粘合材料82。
在一些实施例中,也可以在导电连接件78和通孔50之间使用UBM结构。UBM结构可以类似于UBM75。
图17A至图17C根据各个实施例示出了如图14所示的凹槽79的各种配置。多层晶种层的使用允许利用晶种层来控制凹槽的深度79。例如,在诸如图17A至图17C示出的实施例中,利用具有第一晶种层83(诸如钛层)和第二晶种层84(诸如铜层)的多层晶种层46。在诸如这些的实施例中,通过依靠第一晶种层83和第二晶种层84的材料之间的蚀刻选择性从而使得第二晶种层84用作用于去除第一晶种层83的蚀刻停止层,第一晶种层83的厚度限定凹槽79的深度。在一些实施例中,第一晶种层83具有厚度并且凹槽79的深度R为约0.01μm至约5μm。在其他实施例中,可以从通孔50的端部去除第一晶种层83和第二晶种层84,从而使得完全去除晶种层46并且暴露出通孔50。
图17A至图17C进一步示出了开口47(见图3)的各个侧壁轮廓。例如,图17A示出了其中通孔50的突出件具有延伸穿过第一图案化层44的基本垂直侧壁的实施例。图17B示出了其中通孔50的突出件具有延伸穿过第一图案化层44的正锥形的实施例,从而使得突出件的宽度随着突出件从通孔50的中心主体向外远离延伸而增加。在实施例中,突出件的侧壁的正锥角(α)为约5度至约85度,正锥形的角度(α)可以在从约500mJ/cm2至约1000mJ/cm2的剂量内调整,并且在光刻工艺期间的聚焦深度为从约5μm至约10μm。
图17C示出了其中通孔50的突出件具有延伸穿过第一图案化层44的负锥形的实施例,从而使得突出件的宽度随着突出件从通孔50的中心主体向外远离延伸而减小。在实施例中,突出件的侧壁具有约5度至约85度的负锥角(β),负锥形的角度(β)可以在从约100mJ/cm2至约500mJ/cm2的剂量内调整,并且在光刻工艺期间的聚焦深度为从约5μm至约20μm。在特定设计中可以调整通孔50的突出件的锥形以降低应力。
诸如本文中的那些公开的实施例允许制造至通孔50的接触件而无需使用可能会引起更多破坏或提供更少控制的工艺。例如,与穿过介电层的激光钻孔开口以提供至通孔的电接触相反,诸如那些本文中的实施例依靠良好控制的选择性蚀刻工艺,利用第一图案化层44和晶种层结构中的开口以形成至通孔50的凹槽。诸如激光钻孔的技术可以导致对轮廓和临界尺寸的破坏以及提供更少的控制。
图18至图31示出了根据一些实施例的制造封装件结构的各个中间阶段的截面图。在图18至图31中示出的实施例可以利用如以上参考图1至图16和图17A至图17C的论述的许多类似结构和工艺,其中,相同的参考标号用于代表相同的元件,可以使用类似的工艺由类似的材料形成相同的元件。然而,可以利用其它材料和工艺。现参照图18,示出了在释放层42和载体衬底40上形成的牺牲层94,其中,如以上参考图1和图2的描述,一些实施例可以利用载体衬底40和释放层42。如将在下文中论述的,将在载体衬底40上形成结构以及然后,随后去除载体衬底40。牺牲层94在随后的去除载体衬底40和释放层42(例如,见图29)期间提供保护层以保护随后形成的聚合物层44(见图19)。在去除工艺之后,聚合物层44仍然平坦。
在一些实施例中,牺牲层94可以是聚合物层或金属层。例如,聚合物层可以是六甲基二硅氮烷(HMDS)层等,以及例如,金属层可以是钛(Ti)层等。可以通过旋涂来沉积聚合物层,并且可以通过化学汽相沉积(CVD)、物理汽相沉积(PVD)、溅射等来沉积金属层。在一些实施例中,HMDS层的厚度在从约0.01μm至约5μm的范围内。在其他实施例中,牺牲层94是,例如,通过溅射、CVD、PVD等形成的Ti层。Ti层的厚度是在从约0.01μm至约5μm的范围内。
图19至图30示出了后续的各个中间步骤的截面图,分别类似于在图3至图14中示出的那些步骤。可以使用类似的工艺和材料并且本文中不再重复,其中,相同的参考标号代表相同的元件。
现在参考图31,根据一些实施例,示出了去除牺牲层94(见图30)和一层或多层晶种层46。例如,可以通过使用诸如湿或干蚀刻的可接受的蚀刻工艺来去除牺牲层94和一层或多层晶种层46,从而暴露出第一图案化层44和通孔50的至少部分。如以上参考图17A至图17C所论述的,牺牲层94的去除和一层或多层晶种层46的去除暴露出通孔50并且创建了凹槽79。通孔50可以进一步电连接至另一半导体结构。例如,可以通过等离子体灰化、以丙酮冲洗、异丙醇冲洗等去除HMDS层。可以通过湿蚀刻或干蚀刻去除Ti层。之后,可以实施后续处理。例如,可以实施诸如以上参考图15和图16论述的处理以形成导电连接件78(见图15)和使用导电连接件78和粘合材料料82(见图16)以连接衬底80。可以使用与图15和图16类似的工艺和材料并且本文中不再重复。
在一些实施例中,提供了一种制造半导体器件的方法。该方法包括在载体衬底上方形成第一层和在第一层中形成第一开口。在第一层上方形成通孔,从而使得通孔延伸至第一开口内。在第一层上方放置集成电路,并且在第一层上方形成模塑料,模塑料沿着集成电路和通孔的侧壁延伸。可以在集成电路和通孔上形成重分布层。去除载体衬底。
在另一个实施例中,提供了一种制造半导体器件的方法。该方法包括在载体衬底上形成第一层和在第一层中形成开口。沿着开口的侧壁和底部形成一个或多个晶种层,在晶种层上方形成通孔,从而通孔延伸至开口内。在第一层上放置集成电路,和在第一层上形成模塑料,模塑料置于集成电路和通孔之间。可以去除载体衬底。
在另一实施例中,提供了一种半导体器件。该半导体器件包括:第一层,具有开口;集成电路,位于第一层上;密封剂,设置在邻近集成电路的第一层上;密封剂具有延伸穿过其中的通孔,通孔延伸至开口内。通孔的延伸穿过密封剂的部分的宽度大于通孔的延伸至开口内的部分。
上面概述了若干实施例的特征,使得本领域技术人员可以更好地理解本发明的方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实现与在此所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,在此他们可以做出多种变化、替换以及改变。

Claims (10)

1.一种制造半导体器件的方法,所述方法包括:
在载体衬底上方形成第一层;
在所述第一层中形成第一开口;
在所述第一层上方形成通孔,所述通孔延伸至所述第一开口内;
在所述第一层上方放置集成电路;
在所述第一层上方形成模塑料,所述模塑料沿着所述集成电路和所述通孔的侧壁延伸;
在所述集成电路和所述通孔上形成重分布层;以及
去除所述载体衬底。
2.根据权利要求1所述的方法,还包括:在所述第一开口中形成一个或多个晶种层。
3.根据权利要求2所述的方法,还包括:在去除所述载体衬底之后,去除所述一个或多个晶种层的至少一个。
4.根据权利要求2所述的方法,其中,去除所述一个或多个晶种层的至少一个形成了凹槽。
5.根据权利要求4所述的方法,其中,所述第一开口呈负锥形。
6.根据权利要求4所述的方法,其中,所述第一开口呈正锥形。
7.根据权利要求1所述的方法,还包括:在所述重分布层上放置第一焊球和在所述通孔上放置第二焊球。
8.根据权利要求1所述的方法,还包括:在所述载体衬底上方形成所述第一层之前,在所述载体衬底上方形成牺牲层,其中,所述第一层形成在所述牺牲层上方。
9.一种制造半导体器件的方法,所述方法包括:
在载体衬底上形成第一层;
在所述第一层中形成开口;
沿着所述开口的侧壁和底部形成一个或多个晶种层;
在所述晶种层上形成通孔,所述通孔延伸至所述开口内;
在所述第一层上放置集成电路;
在所述第一层上形成模塑料,所述模塑料置于所述集成电路和所述通孔之间;以及
去除所述载体衬底。
10.一种半导体器件,包括:
第一层,具有开口;
集成电路,位于所述第一层上;
密封剂,位于邻近所述集成电路的所述第一层上;以及
通孔,延伸穿过所述密封剂,所述通孔延伸至所述开口内,延伸穿过所述密封剂的所述通孔的宽度大于延伸至所述开口内的所述通孔的宽度。
CN201510656984.3A 2014-12-03 2015-10-12 半导体封装件及其形成方法 Active CN105679741B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462087167P 2014-12-03 2014-12-03
US62/087,167 2014-12-03
US14/696,198 2015-04-24
US14/696,198 US10325853B2 (en) 2014-12-03 2015-04-24 Method of forming semiconductor packages having through package vias

Publications (2)

Publication Number Publication Date
CN105679741A true CN105679741A (zh) 2016-06-15
CN105679741B CN105679741B (zh) 2018-07-27

Family

ID=56094960

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510656984.3A Active CN105679741B (zh) 2014-12-03 2015-10-12 半导体封装件及其形成方法

Country Status (2)

Country Link
US (4) US10325853B2 (zh)
CN (1) CN105679741B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107946253A (zh) * 2016-10-12 2018-04-20 美光科技公司 采用模制中介层的晶圆级封装
TWI663699B (zh) * 2017-02-07 2019-06-21 台灣積體電路製造股份有限公司 半導體封裝及其形成方法

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10325853B2 (en) * 2014-12-03 2019-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor packages having through package vias
US9786599B2 (en) * 2015-08-21 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and method of forming the same
US9659911B1 (en) * 2016-04-20 2017-05-23 Powertech Technology Inc. Package structure and manufacturing method thereof
US20170365567A1 (en) * 2016-06-20 2017-12-21 Samsung Electro-Mechanics Co., Ltd. Fan-out semiconductor package
US9837359B1 (en) * 2016-09-30 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US10269589B2 (en) * 2017-06-30 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a release film as isolation film in package
US10522526B2 (en) * 2017-07-28 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. LTHC as charging barrier in InFO package formation
CN107507816A (zh) * 2017-08-08 2017-12-22 中国电子科技集团公司第五十八研究所 扇出型晶圆级多层布线封装结构
US10636757B2 (en) * 2017-08-29 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit component package and method of fabricating the same
US10510631B2 (en) * 2017-09-18 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Fan out package structure and method of manufacturing the same
DE102018111389A1 (de) * 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleitervorrichtung und Herstellungsverfahren
US10510634B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method
KR102551034B1 (ko) * 2018-09-07 2023-07-05 삼성전자주식회사 반도체 패키지 및 그 제조방법
US11139262B2 (en) * 2019-02-07 2021-10-05 Micron Technology, Inc. Use of pre-channeled materials for anisotropic conductors
US11600590B2 (en) * 2019-03-22 2023-03-07 Advanced Semiconductor Engineering, Inc. Semiconductor device and semiconductor package
US11088079B2 (en) * 2019-06-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having line connected via portions
US11626551B1 (en) * 2020-01-31 2023-04-11 Tectus Corporation Bonding ultra-dense bump arrays using alignment bumps
KR20210099244A (ko) * 2020-02-03 2021-08-12 삼성전자주식회사 반도체 장치 및 그의 제조 방법
US11508633B2 (en) * 2020-05-28 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having taper-shaped conductive pillar and method of forming thereof
US11923285B2 (en) * 2021-01-05 2024-03-05 Advanced Semiconductor Engineering, Inc. Electronic device package and method of manufacturing the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070141757A1 (en) * 2005-12-20 2007-06-21 Shinko Electric Industries Co., Ltd. Method of manufacturing flexible wiring substrate and method of manufacturing electronic component mounting structure
US20100263923A1 (en) * 2009-04-16 2010-10-21 Shinko Electric Industries Co., Ltd. Wiring substrate having columnar protruding part
US8176628B1 (en) * 2008-12-23 2012-05-15 Amkor Technology, Inc. Protruding post substrate package structure and method
CN103681367A (zh) * 2012-09-12 2014-03-26 台湾积体电路制造股份有限公司 封装方法和封装器件

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6638796B2 (en) * 2002-02-13 2003-10-28 Taiwan Semiconductor Manufacturing Company Method of forming a novel top-metal fuse structure
US20040040855A1 (en) * 2002-08-28 2004-03-04 Victor Batinovich Method for low-cost redistribution and under-bump metallization for flip-chip and wafer-level BGA silicon device packages
US7043830B2 (en) * 2003-02-20 2006-05-16 Micron Technology, Inc. Method of forming conductive bumps
US20050045697A1 (en) * 2003-08-26 2005-03-03 Lacap Efren M. Wafer-level chip scale package
US7148089B2 (en) * 2004-03-01 2006-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming copper fuse links
TWI268564B (en) * 2005-04-11 2006-12-11 Siliconware Precision Industries Co Ltd Semiconductor device and fabrication method thereof
TWI288447B (en) * 2005-04-12 2007-10-11 Siliconware Precision Industries Co Ltd Conductive bump structure for semiconductor device and fabrication method thereof
US7402908B2 (en) * 2005-05-05 2008-07-22 Micron Technology, Inc. Intermediate semiconductor device structures
JP4016039B2 (ja) * 2005-06-02 2007-12-05 新光電気工業株式会社 配線基板および配線基板の製造方法
US7674701B2 (en) * 2006-02-08 2010-03-09 Amkor Technology, Inc. Methods of forming metal layers using multi-layer lift-off patterns
US7932615B2 (en) * 2006-02-08 2011-04-26 Amkor Technology, Inc. Electronic devices including solder bumps on compliant dielectric layers
US7993972B2 (en) * 2008-03-04 2011-08-09 Stats Chippac, Ltd. Wafer level die integration and method therefor
US7901956B2 (en) * 2006-08-15 2011-03-08 Stats Chippac, Ltd. Structure for bumped wafer test
US20080054461A1 (en) * 2006-08-30 2008-03-06 Dennis Lang Reliable wafer-level chip-scale package solder bump structure in a packaged semiconductor device
US7834449B2 (en) * 2007-04-30 2010-11-16 Broadcom Corporation Highly reliable low cost structure for wafer-level ball grid array packaging
US7615865B2 (en) * 2007-05-21 2009-11-10 Stats Chippac, Ltd. Standoff height improvement for bumping technology using solder resist
US10074553B2 (en) * 2007-12-03 2018-09-11 STATS ChipPAC Pte. Ltd. Wafer level package integration and method
US8241954B2 (en) * 2007-12-03 2012-08-14 Stats Chippac, Ltd. Wafer level die integration and method
US7767496B2 (en) * 2007-12-14 2010-08-03 Stats Chippac, Ltd. Semiconductor device and method of forming interconnect structure for encapsulated die having pre-applied protective layer
US20090212428A1 (en) * 2008-02-22 2009-08-27 Advanced Chip Engineering Technology Inc. Re-distribution conductive line structure and the method of forming the same
US8084302B2 (en) * 2008-03-07 2011-12-27 Stats Chippac, Ltd. Semiconductor package having semiconductor die with internal vertical interconnect structure and method therefor
US7648911B2 (en) * 2008-05-27 2010-01-19 Stats Chippac, Ltd. Semiconductor device and method of forming embedded passive circuit elements interconnected to through hole vias
JP5203108B2 (ja) 2008-09-12 2013-06-05 新光電気工業株式会社 配線基板及びその製造方法
EP2338171B1 (en) * 2008-10-15 2015-09-23 ÅAC Microtec AB Method for making an interconnection via
US7838337B2 (en) * 2008-12-01 2010-11-23 Stats Chippac, Ltd. Semiconductor device and method of forming an interposer package with through silicon vias
US10169784B1 (en) 2009-03-23 2019-01-01 United Services Automobile Association (Usaa) Systems and methods for loan origination and servicing based on a recurring deposit of funds
US8378383B2 (en) 2009-03-25 2013-02-19 Stats Chippac, Ltd. Semiconductor device and method of forming a shielding layer between stacked semiconductor die
JP5296590B2 (ja) * 2009-03-30 2013-09-25 新光電気工業株式会社 半導体パッケージの製造方法
US7977783B1 (en) * 2009-08-27 2011-07-12 Amkor Technology, Inc. Wafer level chip size package having redistribution layers
US9875911B2 (en) * 2009-09-23 2018-01-23 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming interposer with opening to contain semiconductor die
US9941195B2 (en) * 2009-11-10 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical metal insulator metal capacitor
US8937381B1 (en) * 2009-12-03 2015-01-20 Amkor Technology, Inc. Thin stackable package and method
US8399987B2 (en) 2009-12-04 2013-03-19 Samsung Electronics Co., Ltd. Microelectronic devices including conductive vias, conductive caps and variable thickness insulating layers
US8822281B2 (en) * 2010-02-23 2014-09-02 Stats Chippac, Ltd. Semiconductor device and method of forming TMV and TSV in WLCSP using same carrier
US8951839B2 (en) * 2010-03-15 2015-02-10 Stats Chippac, Ltd. Semiconductor device and method of forming conductive vias through interconnect structures and encapsulant of WLCSP
TWI433243B (zh) * 2010-07-12 2014-04-01 矽品精密工業股份有限公司 無載具之半導體封裝件及其製法
US8581418B2 (en) * 2010-07-21 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-die stacking using bumps with different sizes
US8343810B2 (en) * 2010-08-16 2013-01-01 Stats Chippac, Ltd. Semiconductor device and method of forming Fo-WLCSP having conductive layers and conductive vias separated by polymer layers
US8097490B1 (en) * 2010-08-27 2012-01-17 Stats Chippac, Ltd. Semiconductor device and method of forming stepped interconnect layer for stacked semiconductor die
US8492203B2 (en) * 2011-01-21 2013-07-23 Stats Chippac, Ltd. Semiconductor device and method for forming semiconductor package having build-up interconnect structure over semiconductor die with different CTE insulating layers
US8883561B2 (en) * 2011-04-30 2014-11-11 Stats Chippac, Ltd. Semiconductor device and method of embedding TSV semiconductor die within encapsulant with TMV for vertical interconnect in POP
US8791009B2 (en) * 2011-06-07 2014-07-29 International Business Machines Corporation Method of forming a through-silicon via utilizing a metal contact pad in a back-end-of-line wiring level to fill the through-silicon via
US8786081B2 (en) * 2011-07-27 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for circuit routing by way of under-bump metallization
US9142502B2 (en) * 2011-08-31 2015-09-22 Zhiwei Gong Semiconductor device packaging having pre-encapsulation through via formation using drop-in signal conduits
JP6081693B2 (ja) 2011-09-12 2017-02-15 新光電気工業株式会社 配線基板及び配線基板の製造方法
JP5864180B2 (ja) * 2011-09-21 2016-02-17 新光電気工業株式会社 半導体パッケージ及びその製造方法
KR20130032724A (ko) * 2011-09-23 2013-04-02 삼성전자주식회사 반도체 칩, 이를 포함하는 반도체 패키지 및 이의 제조 방법
US9679863B2 (en) * 2011-09-23 2017-06-13 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming interconnect substrate for FO-WLCSP
US9123763B2 (en) * 2011-10-12 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package (PoP) structure having at least one package comprising one die being disposed in a core material between first and second surfaces of the core material
US8975741B2 (en) * 2011-10-17 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Process for forming package-on-package structures
US8823180B2 (en) * 2011-12-28 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package on package devices and methods of packaging semiconductor dies
US20130178063A1 (en) * 2012-01-11 2013-07-11 United Microelectronics Corp. Method of manufacturing semiconductor device having silicon through via
US9082780B2 (en) * 2012-03-23 2015-07-14 Stats Chippac, Ltd. Semiconductor device and method of forming a robust fan-out package including vertical interconnects and mechanical support layer
SE538058C2 (sv) * 2012-03-30 2016-02-23 Silex Microsystems Ab Metod att tillhandahålla ett viahål och en routing-struktur
US9991190B2 (en) * 2012-05-18 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging with interposer frame
US9355978B2 (en) * 2013-03-11 2016-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging devices and methods of manufacture thereof
US10269676B2 (en) * 2012-10-04 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermally enhanced package-on-package (PoP)
KR20140063271A (ko) 2012-11-16 2014-05-27 삼성전자주식회사 관통 전극을 갖는 반도체 장치 및 그 제조 방법
US9406552B2 (en) * 2012-12-20 2016-08-02 Advanced Semiconductor Engineering, Inc. Semiconductor device having conductive via and manufacturing process
US9368438B2 (en) * 2012-12-28 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package on package (PoP) bonding structures
US9953907B2 (en) * 2013-01-29 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. PoP device
US9378982B2 (en) 2013-01-31 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Die package with openings surrounding end-portions of through package vias (TPVs) and package on package (PoP) using the die package
US9263511B2 (en) * 2013-02-11 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package with metal-insulator-metal capacitor and method of manufacturing the same
US9087832B2 (en) * 2013-03-08 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Warpage reduction and adhesion improvement of semiconductor die package
US9252076B2 (en) * 2013-08-07 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US9455211B2 (en) * 2013-09-11 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out structure with openings in buffer layer
US9006030B1 (en) * 2013-12-09 2015-04-14 Xilinx, Inc. Warpage management for fan-out mold packaged integrated circuit
US9553059B2 (en) * 2013-12-20 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Backside redistribution layer (RDL) structure
WO2015099684A1 (en) * 2013-12-23 2015-07-02 Intel Corporation Package on package architecture and method for making
TWI517343B (zh) * 2014-03-25 2016-01-11 恆勁科技股份有限公司 覆晶堆疊封裝結構及其製作方法
US10804153B2 (en) * 2014-06-16 2020-10-13 STATS ChipPAC Pte. Ltd. Semiconductor device and method to minimize stress on stack via
US9263373B2 (en) * 2014-06-18 2016-02-16 Dyi-chung Hu Thin film RDL for nanochip package
US9373604B2 (en) * 2014-08-20 2016-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures for wafer level package and methods of forming same
US9842825B2 (en) * 2014-09-05 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Substrateless integrated circuit packages and methods of forming same
US20160133614A1 (en) * 2014-11-07 2016-05-12 Qualcomm Incorporated Semiconductor package with incorporated inductance element
US10325853B2 (en) * 2014-12-03 2019-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor packages having through package vias
US9899248B2 (en) * 2014-12-03 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor packages having through package vias
US9633974B2 (en) * 2015-03-04 2017-04-25 Apple Inc. System in package fan out stacking architecture and process flow
US9786623B2 (en) * 2015-03-17 2017-10-10 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming PoP semiconductor device with RDL over top package
US9659907B2 (en) * 2015-04-07 2017-05-23 Apple Inc. Double side mounting memory integration in thin low warpage fanout package
US9806063B2 (en) * 2015-04-29 2017-10-31 Qualcomm Incorporated Reinforced wafer level package comprising a core layer for reducing stress in a solder joint and improving solder joint reliability
US9679801B2 (en) * 2015-06-03 2017-06-13 Apple Inc. Dual molded stack TSV package
US9728498B2 (en) * 2015-06-30 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure
US9786632B2 (en) * 2015-07-30 2017-10-10 Mediatek Inc. Semiconductor package structure and method for forming the same
US9640496B2 (en) * 2015-09-17 2017-05-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device
US9449953B1 (en) * 2015-10-08 2016-09-20 Inotera Memories, Inc. Package-on-package assembly and method for manufacturing the same
US9659878B2 (en) * 2015-10-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level shielding in multi-stacked fan out packages and methods of forming same
US10049986B2 (en) * 2015-10-30 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of making the same
KR102019350B1 (ko) * 2015-11-06 2019-09-09 삼성전자주식회사 전자부품 패키지 및 그 제조방법
US10134719B2 (en) * 2016-06-30 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US10468345B2 (en) * 2017-05-19 2019-11-05 Taiwan Semiconductor Manufacturing Company Ltd. 3D IC decoupling capacitor structure and method for manufacturing the same
US10636775B2 (en) * 2017-10-27 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and manufacturing method thereof
US10861814B2 (en) * 2017-11-02 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out packages and methods of forming the same
US10741508B2 (en) * 2018-04-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having antenna and manufacturing method thereof
US10868353B2 (en) * 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Electronic device and manufacturing method thereof
US11018215B2 (en) * 2019-03-14 2021-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070141757A1 (en) * 2005-12-20 2007-06-21 Shinko Electric Industries Co., Ltd. Method of manufacturing flexible wiring substrate and method of manufacturing electronic component mounting structure
US8176628B1 (en) * 2008-12-23 2012-05-15 Amkor Technology, Inc. Protruding post substrate package structure and method
US20100263923A1 (en) * 2009-04-16 2010-10-21 Shinko Electric Industries Co., Ltd. Wiring substrate having columnar protruding part
CN103681367A (zh) * 2012-09-12 2014-03-26 台湾积体电路制造股份有限公司 封装方法和封装器件

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107946253A (zh) * 2016-10-12 2018-04-20 美光科技公司 采用模制中介层的晶圆级封装
US10872852B2 (en) 2016-10-12 2020-12-22 Micron Technology, Inc. Wafer level package utilizing molded interposer
US11710693B2 (en) 2016-10-12 2023-07-25 Micron Technology, Inc. Wafer level package utilizing molded interposer
TWI663699B (zh) * 2017-02-07 2019-06-21 台灣積體電路製造股份有限公司 半導體封裝及其形成方法
US10354961B2 (en) 2017-02-07 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Routing design of dummy metal cap and redistribution line
US11031352B2 (en) 2017-02-07 2021-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Routing design of dummy metal cap and redistribution line

Also Published As

Publication number Publication date
US10325853B2 (en) 2019-06-18
US20230378075A1 (en) 2023-11-23
US11837550B2 (en) 2023-12-05
US10964641B2 (en) 2021-03-30
US20190311988A1 (en) 2019-10-10
US20160163564A1 (en) 2016-06-09
US20210233854A1 (en) 2021-07-29
CN105679741B (zh) 2018-07-27

Similar Documents

Publication Publication Date Title
US11837550B2 (en) Method of forming semiconductor packages having through package vias
US11721559B2 (en) Integrated circuit package pad and methods of forming
US11967563B2 (en) Fan-out package having a main die and a dummy die
CN108231601B (zh) 半导体装置及其制造方法
CN105679718B (zh) 半导体封装件及其形成方法
CN111883481B (zh) 3d封装件结构及其形成方法
CN109786268B (zh) 半导体封装件中的金属化图案及其形成方法
US9318429B2 (en) Integrated structure in wafer level package
US10049986B2 (en) Package structures and methods of making the same
US10325883B2 (en) Package-on-package structure and method
CN109786266A (zh) 半导体封装件及其形成方法
US9761566B1 (en) Multi-die structure and method of forming same
US11715681B2 (en) Fan-out package structure and method
TW201924015A (zh) 半導體元件及其製造方法
TW202349617A (zh) 半導體封裝及其製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant