CN105280481B - 硬掩膜组成物和使用所述硬掩膜组成物形成图案的方法 - Google Patents

硬掩膜组成物和使用所述硬掩膜组成物形成图案的方法 Download PDF

Info

Publication number
CN105280481B
CN105280481B CN201510379390.2A CN201510379390A CN105280481B CN 105280481 B CN105280481 B CN 105280481B CN 201510379390 A CN201510379390 A CN 201510379390A CN 105280481 B CN105280481 B CN 105280481B
Authority
CN
China
Prior art keywords
substituted
unsubstituted
hard mask
group
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510379390.2A
Other languages
English (en)
Other versions
CN105280481A (zh
Inventor
南沇希
金惠廷
宋炫知
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung SDI Co Ltd
Original Assignee
Samsung SDI Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung SDI Co Ltd filed Critical Samsung SDI Co Ltd
Publication of CN105280481A publication Critical patent/CN105280481A/zh
Application granted granted Critical
Publication of CN105280481B publication Critical patent/CN105280481B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G61/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G61/02Macromolecular compounds containing only carbon atoms in the main chain of the macromolecule, e.g. polyxylylenes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D165/00Coating compositions based on macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain; Coating compositions based on derivatives of such polymers
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D165/00Coating compositions based on macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain; Coating compositions based on derivatives of such polymers
    • C09D165/02Polyphenylenes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/10Definition of the polymer structure
    • C08G2261/14Side-groups
    • C08G2261/142Side-chains containing oxygen
    • C08G2261/1424Side-chains containing oxygen containing ether groups, including alkoxy
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/10Definition of the polymer structure
    • C08G2261/14Side-groups
    • C08G2261/146Side-chains containing halogens
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/10Definition of the polymer structure
    • C08G2261/14Side-groups
    • C08G2261/148Side-chains having aromatic units
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/30Monomer units or repeat units incorporating structural elements in the main chain
    • C08G2261/34Monomer units or repeat units incorporating structural elements in the main chain incorporating partially-aromatic structural elements in the main chain
    • C08G2261/342Monomer units or repeat units incorporating structural elements in the main chain incorporating partially-aromatic structural elements in the main chain containing only carbon atoms
    • C08G2261/3424Monomer units or repeat units incorporating structural elements in the main chain incorporating partially-aromatic structural elements in the main chain containing only carbon atoms non-conjugated, e.g. paracyclophanes or xylenes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/40Polymerisation processes
    • C08G2261/45Friedel-Crafts-type
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/70Post-treatment
    • C08G2261/72Derivatisation
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/90Applications

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Inorganic Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials For Photolithography (AREA)

Abstract

本发明提供一种包含聚合物和溶剂的硬掩膜组成物以及形成图案的方法,其中所述硬掩膜组成物包括聚合物,所述聚合物包含由以下化学式1表示的部分。本发明一个实施例的硬掩膜组成物同时确保耐蚀刻性和平坦化特征。[化学式1]在所述化学式1中,A、B、R1和R2与具体实施方式中所定义的相同。

Description

硬掩膜组成物和使用所述硬掩膜组成物形成图案的方法
相关申请的交叉引用
本发明要求2014年7月15日在韩国知识产权局提交的韩国专利申请第10-2014-0089367号的优先权和权益,其全部内容以引用的方式并入本文中。
技术领域
本发明公开一种硬掩膜组成物,和一种使用所述硬掩膜组成物形成图案的方法。
背景技术
最近,半导体行业已发展到具有几纳米到几十纳米尺寸的图案的超精细技术。所述超精细技术主要需要有效的光刻技术。
典型的光刻技术包含:在半导体衬底上提供材料层;在材料层上涂布光刻胶层;曝光且显影所述光刻胶层以提供光刻胶图案;以及使用所述光刻胶图案作为掩模来蚀刻所述材料层。
当今,根据欲形成的图案的较小尺寸,仅仅通过上述典型的光刻技术难以提供轮廓清晰的精细图案。因此,可在材料层与光刻胶层之间形成被称作硬掩膜层的层来得到精细图案。
硬掩膜层起到中间层的作用,用于通过选择性蚀刻工艺将光刻胶的精细图案转移到材料层。因此,硬掩膜层需要具有例如耐热性和耐蚀刻性等的特征以在多种蚀刻工艺期间耐受。
另一方面,最近已经提出了通过旋涂式涂布法而不是化学气相沉积来形成硬掩膜层。旋涂式涂布法易于进行且还可改良间隙填充特征和平坦化特征。当必需使用多个图案来获得精细图案时,需要在无空隙下用层填充图案的间隙填充特征。另外,当衬底具有凸块或作为衬底的芯片具有图案致密区或无图案区两者时,需要用较低层平坦化层表面的平坦化特征。
因此,需要发展满足硬掩膜层特征的硬掩膜组成物。
发明内容
本发明一个实施例提供同时确保耐蚀刻性和平坦化特征的硬掩膜组成物。
本发明另一实施例提供一种使用硬掩膜组成物形成图案的方法。
根据一个实施例,硬掩膜组成物包含聚合物和溶剂,所述聚合物包含由以下化学式1表示的部分(moiety)。
[化学式1]
在化学式1中,
A和B独立地是经取代或未经取代的芳环基,
R1和R2独立地是氟(-F)、羟基(-OH)、经取代或未经取代的芳环基或其组合。
A和B可独立地为经取代或未经取代的C6到C50亚芳基。
A和B可独立地为以下族群1和族群2中所列的基团之一。
[族群1]
在族群1中,
R3和R4独立地是氢(-H)、羟基(-OH)、甲氧基(-OCH3)、乙氧基(-OC2H5)、卤素(-F、-Cl、-Br、-I)、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C4烷基醚基、经取代或未经取代的C7到C20芳基亚烷基醚基、经取代或未经取代的C1到C30卤烷基或其组合,
[族群2]
在族群2中,
X1和X2独立地是前述族群1中所列的基团之一,
R5和R6独立地是氢(-H)、羟基(-OH)、甲氧基(-OCH3)、乙氧基(-OC2H5)、卤素(-F、-Cl、-Br、-I)、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C4烷基醚基、经取代或未经取代的C7到C20芳基亚烷基醚基、经取代或未经取代的C1到C30卤烷基或其组合。
A和B中的至少一个可为经取代或未经取代的多环芳环基。
聚合物可由以下化学式2表示。
[化学式2]
在化学式2中,
A1、A2、B1以及B2独立地是经取代或未经取代的芳环基,
R1a、R2a、R1b、R2b、R1c、R2c、R1d和R2d独立地是氟(-F)、羟基(-OH)、经取代或未经取代的芳环基或其组合,以及
m和n分别为0至200的整数。
m和n的总和至少为1。
A1、A2、B1以及B2可独立地为经取代或未经取代的C6到C50亚芳基。
A1、A2、B1以及B2可独立地为族群1和族群2中所列的基团之一。
R1a、R2a、R1b、R2b、R1c、R2c、R1d和R2d中的至少一个可为经取代或未经取代的芳环基,以及经取代或未经取代的芳环基可为经取代或未经取代的萘基、经取代或未经取代的苯基、经取代或未经取代的联苯基、经取代或未经取代的芘基、经取代或未经取代的联萘基、经取代或未经取代的蒽基、经取代或未经取代的芴基或其组合。
聚合物的重量平均分子量可以为约1,000到约200,000。
以有机层组成物的总量计,可以约0.1重量%到约30重量%的量包含聚合物。
R1和R2中的至少一个可为经取代或未经取代的芳环基,以及经取代或未经取代的芳环基可为经取代或未经取代的萘基、经取代或未经取代的苯基、经取代或未经取代的联苯基、经取代或未经取代的芘基、经取代或未经取代的联萘基、经取代或未经取代的蒽基、经取代或未经取代的芴基或其组合。
根据另一实施例,形成图案的方法包含:在衬底上提供材料层,在材料层上涂覆硬掩膜组成物,热处理硬掩膜组成物以形成硬掩膜层,在硬掩膜层上形成含硅薄层,在含硅薄层上形成光刻胶层,曝光且显影光刻胶层以形成光刻胶图案,使用光刻胶图案选择性地去除含硅薄层和硬掩膜层以曝光材料层的一部分,以及蚀刻材料层的曝光部分。
硬掩膜组成物可以使用旋涂式涂布法涂覆。
形成硬掩膜层的工艺可以包含在约100℃到约500℃下进行热处理。
所述方法可进一步包含在形成光刻胶层之前形成底部抗反射涂层(bottomantireflective coating,BARC)。
含硅薄层可包含氮氧化硅(silicon oxynitride,SiON)。
根据本发明,可提供同时确保耐蚀刻性和平坦化特征的硬掩膜组成物。
附图说明
图1为显示实例1中制造的硬掩膜层的图案特征的电子扫描显微镜(SEM)照片;
图2为显示比较例2中制造的硬掩膜层的图案特征的电子扫描显微镜(SEM)照片。
具体实施方式
本发明的例示性实施例将在下文中进行详细描述,并且可以容易由具有相关领域中常识的人员执行。然而,本发明可以多种不同形式实施,并且不解释为限于本文所阐述的例示性实施例。
如本文所用,当未另外提供定义时,术语“经取代的”可以指经由以下各项中选出的取代基取代而代替化合物的氢原子的一个:卤素(F、Br、Cl或I)、羟基、烷氧基、硝基、氰基、氨基、叠氮基、脒基、肼基、亚肼基、羰基、氨甲酰基、硫醇基、酯基、羧基或其盐、磺酸基或其盐、磷酸或其盐、C1到C20烷基、C2到C20烯基、C2到C20炔基、C6到C30芳基、C7到C30芳烷基、C1到C30烷氧基、C1到C20杂烷基、C3到C20杂芳基烷基、C3到C30环烷基、C3到C15环烯基、C6到C15环炔基、C3到C30杂环烷基和其组合。
未另外提供定义时,如本文所使用,术语“杂”是指包含1到3个选自B、N、O、S和P的杂原子的。
如本文所用,当未另外提供定义时,“*”表示化合物或化合物部分的键联点。
在下文中,描述了根据一个实施例的硬掩膜组成物。
根据一个实施例的硬掩膜组成物包含聚合物和溶剂,所述聚合物包含由以下化学式1表示的部分。
[化学式1]
在化学式1中,
A和B独立地是经取代或未经取代的芳环基,以及
R1和R2独立地是氟(-F)、羟基(-OH)、经取代或未经取代的芳环基或其组合。
由化学式1表示的部分包含两个芳环基团,且在此,位于所述芳环基团之间的烷基连接基团中的氢经由以下各者中选出的基团取代:氟(-F)、苯基、联苯基、羟基(-OH)、经取代或未经取代的芳环基或其组合。
所述官能团结合能较大但反应性相对较小,且可以预先取代与聚合物的SP3碳键接的氢以防止在烘烤工艺期间氟取代与SP3碳键接的氢。因此,可改进硬掩膜层在烘烤工艺期间甚至在低温下的扭动(wiggling)特征。另外,可通过减少在高温下在烘烤工艺期间的可氧化位点来改进蚀刻性能,且同时可通过减少在高温下的热收缩来改进平坦化特征。
聚合物可以包含多个由化学式1表示的部分,且所述多个部分可以具有相同结构或不同结构。
A和B可例如独立地为经取代或未经取代的C6到C50亚芳基,并且具体为以下族群1和族群2中所列的基团之一,但不限于此。
[族群1]
在族群1中,
R3和R4独立地是氢(-H)、羟基(-OH)、甲氧基(-OCH3)、乙氧基(-OC2H5)、卤素(-F、-Cl、-Br、-I)、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C4烷基醚基、经取代或未经取代的C7到C20芳基亚烷基醚基、经取代或未经取代的C1到C30卤烷基或其组合。
[族群2]
在族群2中,
X1和X2独立地是前述族群1中所列的基团之一,以及
R5和R6独立地是氢(-H)、羟基(-OH)、甲氧基(-OCH3)、乙氧基(-OC2H5)、卤素(-F、-Cl、-Br、-I)、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C4烷基醚基、经取代或未经取代的C7到C20芳基亚烷基醚基、经取代或未经取代的C1到C30卤烷基或其组合。
R1和R2中的至少一个可为经取代或未经取代的芳环基,以及经取代或未经取代的芳环基可为经取代或未经取代的萘基、经取代或未经取代的苯基、经取代或未经取代的联苯基、经取代或未经取代的芘基、经取代或未经取代的联萘基、经取代或未经取代的蒽基、经取代或未经取代的芴基或其组合。
举例来说,A和B中的至少一个可为经取代或未经取代的多环芳环基,且所述多环芳环基可例如由以下族群3中选出。
[族群3]
族群3中所列的多环芳香族基团的至少一个氢可经以下各个取代:羟基(-OH)、甲氧基(-OCH3)、乙氧基(-OC2H5)、卤素(-F、-Cl、-Br、-I)、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C4烷基醚基、经取代或未经取代的C7到C20芳基亚烷基醚基、经取代或未经取代的C1到C30卤烷基或其组合。
举例来说,聚合物可以由以下化学式2表示。
[化学式2]
在化学式2中,
A1、A2、B1以及B2独立地是经取代或未经取代的芳环基,
R1a、R2a、R1b、R2b、R1c、R2c、R1d和R2d独立地是氟(-F)、羟基(-OH)、经取代或未经取代的芳环基或其组合,以及
m和n分别为0至200的整数,
其限制条件为m和n的总和至少为1。
举例来说,在化学式2中,A1、A2、B1和B2可独立地为经取代或未经取代的C6到C50亚芳基,并且具体为前述族群1和族群2中所列的基团之一,但不限于此。
R1a、R2a、R1b、R2b、R1c、R2c、R1d和R2d中的至少一个可为经取代或未经取代的芳环基,以及经取代或未经取代的芳环基可为经取代或未经取代的萘基、经取代或未经取代的苯基、经取代或未经取代的联苯基、经取代或未经取代的芘基、经取代或未经取代的联萘基、经取代或未经取代的蒽基、经取代或未经取代的芴基或其组合。
聚合物的重量平均分子量可以为约1,000到约200,000。当聚合物具有在所述范围内的重量平均分子量时,可以调节并由此优化包含聚合物的硬掩膜组成物的碳量和溶解度。
溶剂可以是足以溶解或分散聚合物的任一个,并且可以是例如由丙二醇、丙二醇二乙酸酯、甲氧基丙二醇、二甘醇、二甘醇丁基醚、三(乙二醇)单甲基醚、丙二醇单甲基醚、丙二醇单甲基醚乙酸酯、环己酮、乳酸乙酯、γ-丁内酯、甲基吡咯烷酮以及乙酰丙酮中选出的至少一个。
以有机层组成物的总量计,可以约0.1重量%到约30重量%的量包含聚合物。当聚合物在所述范围内被包含时,可以控制有机层的厚度、表面粗糙度和平坦化。
硬掩膜组成物可进一步包含表面活性剂。
表面活性剂可包含例如烷基苯磺酸盐、烷基吡啶盐、聚乙二醇或季铵盐,但并不限于此。
以100重量份的硬掩膜组成物计,可以约0.001重量份到约3重量份的量包含表面活性剂。当表面活性剂在所述范围内被包含时,可以在不改变硬掩膜组成物的光学特性的情况下提高溶解度。
下文描述了用于通过使用硬掩膜组成物形成图案的方法。
根据另一实施例形成图案的方法包含:在衬底上提供材料层,在材料层上涂覆包含聚合物和溶剂的硬掩膜组成物,热处理硬掩膜组成物以形成硬掩膜层,在硬掩膜层上形成含硅薄层,在含硅薄层上形成光刻胶层,使光刻胶层曝光并且显影以形成光刻胶图案,使用光刻胶图案选择性地移除含硅薄层和硬掩膜层以使材料层的一部分曝光,以及蚀刻材料层的曝光部分。
衬底可以是例如硅芯片、玻璃衬底或聚合物衬底。
材料层为最终将被图案化的材料,例如,金属层,如铝层和铜层;半导体层,如硅层;或绝缘层,如氧化硅层和氮化硅层。材料层可以通过如化学气相沉积(chemical vapordeposition,CVD)工艺等方法形成。
硬掩膜组成物与上文所述相同,且可以按溶液的形式通过旋涂式涂布法涂覆。在此,硬掩膜组成物的厚度不受特定限制,但可以为例如约50埃到约10,000埃。
可以例如在约100℃到约500℃下对硬掩膜组成物进行热处理约10秒到1小时。
含硅薄层可以由例如氮化硅或氧化硅形成。
所述方法可以进一步包含在形成所述光刻胶层之前形成底部抗反射涂层(BARC)。
光刻胶层的曝光可以使用例如ArF、KrF或EUV进行。在曝光之后,可以在约100℃到约500℃下进行热处理。
可以通过干式蚀刻工艺使用蚀刻气体进行材料层的曝光部分的蚀刻工艺,并且蚀刻气体可以是例如但不限于CHF3、CF4、Cl2、BCl3以及其混合气体。
经蚀刻的材料层可以多个图案形成,并且多个图案可以是金属图案、半导体图案、绝缘图案等,例如半导体集成电路装置的不同图案。
下文参考实例对本发明进行更详细的说明。然而,这些实例是例示性的,并且本发明并不限于此。
合成实例
合成实例1
傅-克酰化(Friedel-Craft Acylation)(取代基引入反应)
将20.2克(0.1摩尔)对酞酰二氯、37.8克(0.1摩尔)9,9-双(4-甲氧苯基)-9H-芴以及232克1,2-二氯乙烷放置在烧瓶中。接着,将13.2克(0.1摩尔)氯化铝缓慢添加到溶液中,且在室温下搅拌混合物。然后,通过每隔一小时测量聚合反应物的重量平均分子量来从聚合反应物获取样品,且当重量平均分子量达到1,200到1,500时,终止反应。当反应终止时,向其中添加甲醇,通过使用水和甲醇来移除在将其中产生的沉淀过滤之后残留的单体。
氟化
将2.5克(4.5毫摩尔)从取代基引入反应获得的化合物、2.2克(9毫摩尔)4-叔丁基-2,6-二甲基苯基三氟化硫、2毫升HF-吡啶以及20毫升二氯甲烷以逐滴方式添加在烧瓶中,且然后在50℃下搅拌24小时。当终止反应时,将反应物冷却到室温,用5%盐酸溶液和水洗涤由其获得的有机层,并且移除其中残留的溶剂,获得由以下化学式A表示的化合物(聚合物重量平均分子量=3,000)。
[化学式A]
合成实例2
傅-克酰化(取代基引入反应)
将20.2克(0.1摩尔)对酞酰二氯、37.8克(0.1摩尔)9,9-双(4-甲氧苯基)-9H-芴以及232克1,2-二氯乙烷放置在烧瓶中。接着,将13.2克(0.1摩尔)氯化铝缓慢添加到溶液中,且在室温下搅拌混合物。然后,通过每隔一小时测量聚合反应物的重量平均分子量来从聚合反应物获取样品,且当重量平均分子量是1,200到1,500时,终止反应。当终止反应时,用水和甲醇移除在将其中产生的沉淀过滤之后残留的单体。
芳基化(芳香族基团的引入反应)
将2克2-溴萘溶解于10毫升四氢呋喃中,并且将溶液冷却到-78℃。接着,以逐滴方式向溶液中添加2.2毫升n-BuLi(2.5摩尔浓度),搅拌混合物30分钟,向其添加从取代基引入反应获得并溶解于2毫升四氢呋喃中的3克化合物,并且搅拌混合物5小时。当终止反应时,所得物用1当量浓度HCl酸化并且过滤,获得由化学式B表示的化合物(聚合物重量平均分子量=3,500)。
[化学式B]
合成实例3
傅-克酰化(取代基引入反应)
根据与实例1相同的方法,改以使用20.2克(0.1摩尔)对酞酰二氯、11.6克(0.05摩尔)4-甲氧基芘、7.9克(0.05摩尔)甲氧基萘、157克1,2-二氯乙烷以及13.2克(0.1摩尔)氯化铝,合成化合物。
氟化
根据与实例1相同的方法,通过使用2克从取代基引入反应获得的化合物、2.5克(10毫摩尔)4-叔丁基-2,6-二甲基苯基三氟化硫、3毫升HF-吡啶以及20毫升二氯甲烷获得由以下化学式C表示的化合物(聚合物重量平均分子量=2,500)。
[化学式C]
合成比较例1
将21.6克(0.057摩尔)9,9-双(4-甲氧苯基)-9H-芴和9.6克(0.057摩尔)1,4-双(甲氧基甲基)苯连续倒入配备有温度计、冷凝器和机械搅拌器的500毫升烧瓶中,并且溶解于51克丙二醇单甲基醚乙酸酯(propylene glycol monomethyl ether acetate,PGMEA)中。随后,向其中添加0.15克(0.001摩尔)亚硫酸二乙酯,且在90℃到120℃下搅拌混合物5小时到10小时左右。然后,通过每隔一小时测量聚合反应物的重量平均分子量来从聚合反应物获取样品,且当重量平均分子量达到1,800到2,300时,终止反应。
当反应终止时,将所得物冷却到室温,然后添加到40克蒸馏水和400克甲醇中,并且剧烈搅拌混合物并然后使其静置。将从其移除上清液之后,将其中的沉淀物溶解于80克丙二醇单甲基醚乙酸酯(PGMEA)中,并且通过使用40克甲醇和40克水剧烈搅拌溶液然后使其静置(第一工艺)。在此,再次移除从其获得的上清液,并且将残留于此的沉淀物溶解在40克丙二醇单甲基醚乙酸酯(PGMEA)中(第二工艺)。第一工艺和第二工艺被视为一个纯化工艺,且此纯化工艺总计进行三次。将纯化的聚合物溶解于80克丙二醇单甲基醚乙酸酯(PGMEA)中,并且将溶液减压以移除甲醇和蒸馏水,获得由化学式D表示的化合物(聚合物Mw=2500)。
[化学式D]
合成比较例2
根据与比较例1相同的方法,通过使用23.2克(0.1摩尔)4-甲氧基芘、33.2克(0.2摩尔)1,4-双(甲氧基甲基)苯、15.8克(0.1摩尔)1-甲氧基萘、72.2克丙二醇单甲基醚乙酸酯(PGMEA)和0.62克(4毫摩尔)硫酸二乙酯获得由以下化学式E表示的化合物(聚合物Mw=2500)。
[化学式E]
制备硬掩膜组成物
实例1
通过将根据合成实例1的化合物溶解在丙二醇单甲基醚乙酸酯(PGMEA)与环己酮(7∶3(体积/体积))的混合溶剂中并且过滤溶液来制备硬掩膜组成物。取决于所要厚度,基于硬掩膜组成物的总重量,以3重量%到13重量%的量使用化合物。
实例2
根据与实例1相同的方法,改以使用合成实例2的化合物代替合成实例1的化合物,制备硬掩膜组成物。
实例3
根据与实例1相同的方法,改以使用合成实例3的化合物代替合成实例1的化合物,制备硬掩膜组成物。
比较例1
根据与实例1相同的方法,改以使用合成比较例1的化合物代替合成实例1的化合物,制备硬掩膜组成物。
比较例2
根据与实例1相同的方法,改以使用合成比较例2的化合物代替合成实例1的化合物,制备硬掩膜组成物。
评估
评估1:耐蚀刻性
旋涂式涂布根据实例1到实例3和比较例1和比较例2的各硬掩膜组成物以在硅芯片上形成4,000埃厚薄膜,然后在热板上在400℃下热处理2分钟。接着,测量薄膜的厚度。然后,薄膜通过分别使用CHF3/CF4混合气体和N2/O2混合气体干式蚀刻100秒和60秒,然后再次测量薄膜的厚度。在干式蚀刻之前和之后的薄膜厚度和其蚀刻时间用于根据以下计算方程式1计算块体蚀刻速率(bulk etch rate,BER)。
[计算方程式1]
(初始薄膜厚度-蚀刻后的薄膜厚度)/蚀刻时间(埃/秒)
结果提供在表1中。
[表1]
参看表1,与分别由根据比较例1和比较例2的硬掩膜组成物形成的薄膜相比,分别由根据实例1到实例3的硬掩膜组成物形成的薄膜相对于蚀刻气体显示足够的耐蚀刻性并且因此展示改进的块体蚀刻特征。
评估2:图案形成
旋涂式涂布根据实例1到实例3和比较例1和比较例2的各硬掩膜组成物以在硅芯片上形成硬掩膜层,然后在热板上在300℃下热处理3分钟。随后,在化学气相沉积(CVD)方法中形成300埃厚氮化硅(silicon nitride,SiN)层,在其上涂布KrF的光刻胶,并且产品在110℃下热处理60秒然后通过使用ASML(XT:1400,NA 0.93)曝光设备曝光并用氢氧化四甲基铵(2.38重量%TMAH水溶液)显影。随后,通过使用CHF3/CF4混合气体对氮化硅层进行干式蚀刻,然后,通过使用N2/O2混合气体对硬掩膜层进行干式蚀刻。然后,其上形成的图案轮廓通过使用电子扫描显微镜(electron scanning microscope,SEM)检查。
结果提供在表2以及图1和图2中。
[表2]
扭动产生
实例1
实例2
实例3
比较例1
比较例2
参考表2,分别由根据实例1到实例3的硬掩膜组成物形成的薄膜在低温工艺中不展示扭动,而分别由根据比较例1和比较例2的硬掩膜组成物形成的图案展示扭动。
图1和图2为根据实例1和比较例2的各硬掩膜层的图案特征的电子扫描显微镜(SEM)照片。
参看图1和图2,由根据实例1的硬掩膜组成物形成的图案的横截面与由根据比较例2的硬掩膜组成物形成的图案的横截面相比展示更少扭动。
虽然已经结合目前视为实用例示性实施例的内容来描述本发明,但应理解本发明不限于所公开的实施例,而是相反,本发明旨在涵盖包含在所附权利要求的精神和范围内的各种修改和等效配置。

Claims (11)

1.一种硬掩膜组成物,包括:
聚合物,由以下化学式2表示,以及
溶剂:
[化学式2]
其中,在所述化学式2中,
A1、A2、B1以及B2独立地是经取代或未经取代的芳环基,
R1a、R2a、R1b、R2b、R1c、R2c、R1d和R2d独立地是氟、羟基、经取代或未经取代的芳环基或其组合,以及
m和n分别为0至200的整数,
其限制条件为所述m和n的总和至少为1。
2.根据权利要求1所述的硬掩膜组成物,其中所述A1、A2、B1和B2独立地是经取代或未经取代的C6到C50亚芳基。
3.根据权利要求1所述的硬掩膜组成物,其中所述A1、A2、B1和B2独立地是族群1和族群2中所列的基团之一:
[族群1]
其中,在所述族群1中,
R3和R4独立地是氢、羟基、甲氧基、乙氧基、卤素、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C4烷基醚基、经取代或未经取代的C7到C20芳基亚烷基醚基、经取代或未经取代的C1到C30卤烷基或其组合,
[族群2]
其中,在所述族群2中,
X1和X2独立地是所述族群1中所列的基团之一,以及
R5和R6独立地是氢、羟基、甲氧基、乙氧基、卤素、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C4烷基醚基、经取代或未经取代的C7到C20芳基亚烷基醚基、经取代或未经取代的C1到C30卤烷基或其组合。
4.根据权利要求1所述的硬掩膜组成物,其中R1a、R2a、R1b、R2b、R1c、R2c、R1d和R2d中的至少一个为经取代或未经取代的芳环基,所述经取代或未经取代的芳环基为经取代或未经取代的萘基、经取代或未经取代的苯基、经取代或未经取代的联苯基、经取代或未经取代的芘基、经取代或未经取代的联萘基、经取代或未经取代的蒽基、经取代或未经取代的芴基或其组合。
5.根据权利要求1所述的硬掩膜组成物,其中所述聚合物的重量平均分子量为1,000到200,000。
6.根据权利要求1所述的硬掩膜组成物,其中所述聚合物以有机层组成物的总量计以0.1重量%到30重量%的量包含在内。
7.一种形成图案的方法,包括:
在衬底上提供材料层;
在所述材料层上涂覆根据权利要求1到6中任一项所述的硬掩膜组成物,
热处理所述硬掩膜组成物以形成硬掩膜层,
在所述硬掩膜层上形成含硅薄层,
在所述含硅薄层上形成光刻胶层,
使所述光刻胶层曝光并且显影以形成光刻胶图案,
使用所述光刻胶图案选择性地移除所述含硅薄层和所述硬掩膜层来曝光所述材料层的一部分;以及
蚀刻所述材料层的曝光部分。
8.根据权利要求7所述的方法,其中所述硬掩膜组成物使用旋涂式涂布法涂覆。
9.根据权利要求7所述的方法,其中所述硬掩膜层通过在100℃到500℃下热处理来形成。
10.根据权利要求7所述的方法,其进一步包括在形成所述光刻胶层之前形成底部抗反射涂层。
11.根据权利要求7所述的方法,其中所述含硅薄层包括氮氧化硅。
CN201510379390.2A 2014-07-15 2015-07-01 硬掩膜组成物和使用所述硬掩膜组成物形成图案的方法 Active CN105280481B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2014-0089367 2014-07-15
KR1020140089367A KR101747229B1 (ko) 2014-07-15 2014-07-15 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법

Publications (2)

Publication Number Publication Date
CN105280481A CN105280481A (zh) 2016-01-27
CN105280481B true CN105280481B (zh) 2018-03-27

Family

ID=55074026

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510379390.2A Active CN105280481B (zh) 2014-07-15 2015-07-01 硬掩膜组成物和使用所述硬掩膜组成物形成图案的方法

Country Status (4)

Country Link
US (1) US9568825B2 (zh)
KR (1) KR101747229B1 (zh)
CN (1) CN105280481B (zh)
TW (1) TWI554836B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9899218B2 (en) * 2015-06-04 2018-02-20 Shin-Etsu Chemical Co., Ltd. Resist under layer film composition and patterning process
JP6712188B2 (ja) * 2015-07-13 2020-06-17 信越化学工業株式会社 レジスト下層膜形成用組成物及びこれを用いたパターン形成方法
KR102276554B1 (ko) * 2016-02-01 2021-07-12 동우 화인켐 주식회사 하드마스크용 조성물
KR102351175B1 (ko) * 2017-07-25 2022-01-14 에스케이이노베이션 주식회사 신규한 레지스트 하층막 형성용 중합체, 이를 포함하는 레지스트 하층막 형성용 조성물 및 이를 이용한 반도체 소자의 제조방법
KR102350796B1 (ko) * 2017-12-15 2022-01-14 에스케이이노베이션 주식회사 신규한 레지스트 하층막 형성용 중합체, 이를 포함하는 레지스트 하층막 형성용 조성물 및 이를 이용한 반도체 소자의 제조방법
KR20190100862A (ko) * 2018-02-21 2019-08-29 동우 화인켐 주식회사 하드마스크용 조성물
KR102287506B1 (ko) 2018-07-11 2021-08-09 삼성에스디아이 주식회사 하드마스크 조성물, 하드마스크 층 및 패턴 형성 방법
KR102397179B1 (ko) * 2018-12-21 2022-05-11 삼성에스디아이 주식회사 하드마스크 조성물, 하드마스크 층 및 패턴 형성 방법
CN110862497B (zh) * 2019-07-10 2022-07-29 厦门恒坤新材料科技股份有限公司 含萘侧基聚合物及其制备方法和硬掩膜组合物及图案形成方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103229104A (zh) * 2010-12-09 2013-07-31 日产化学工业株式会社 包含含有羟基的咔唑酚醛清漆树脂的形成抗蚀剂下层膜的组合物

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW482764B (en) 2001-01-04 2002-04-11 De-Jang Liau Preparation of soluble polyimides from various novel dianhydrides
JP4424307B2 (ja) 2003-04-18 2010-03-03 日立化成工業株式会社 枝分れ構造を有するポリキノリン共重合体およびこれを用いた有機エレクトロルミネセンス素子
KR100671115B1 (ko) 2005-05-09 2007-01-17 제일모직주식회사 반사방지성을 갖는 하드마스크 조성물
KR100673625B1 (ko) 2005-06-17 2007-01-24 제일모직주식회사 반사방지 하드마스크 조성물 및 이를 이용하여 기판 상에패턴화된 재료 형상을 형성시키는 방법
KR101438857B1 (ko) * 2007-03-12 2014-09-05 히다치 가세이듀퐁 마이쿠로시스데무즈 가부시키가이샤 감광성 수지 조성물, 그 수지 조성물을 이용한 패턴 경화막의 제조방법 및 전자부품
KR100888611B1 (ko) 2007-06-05 2009-03-12 제일모직주식회사 반사방지 하드마스크 조성물 및 이를 이용한 기판상재료의 패턴화 방법
KR100819162B1 (ko) 2007-04-24 2008-04-03 제일모직주식회사 반사방지성을 갖는 하드마스크 조성물 및 이를 이용한재료의 패턴화 방법
KR100908601B1 (ko) 2007-06-05 2009-07-21 제일모직주식회사 반사방지 하드마스크 조성물 및 이를 이용한 기판상 재료의패턴화 방법
JP5336306B2 (ja) * 2008-10-20 2013-11-06 信越化学工業株式会社 レジスト下層膜形成方法、これを用いたパターン形成方法、及びレジスト下層膜材料
US20100151392A1 (en) * 2008-12-11 2010-06-17 Rahman M Dalil Antireflective coating compositions
CN101934222B (zh) 2009-06-29 2013-06-19 深圳市普迈达科技有限公司 具有超高比表面积的多孔聚合物材料、其制备方法及其于气体储存或液体吸附的应用
JP5229278B2 (ja) 2010-06-21 2013-07-03 信越化学工業株式会社 ナフタレン誘導体、レジスト下層膜材料、レジスト下層膜形成方法及びパターン形成方法
JP5556773B2 (ja) * 2010-09-10 2014-07-23 信越化学工業株式会社 ナフタレン誘導体及びその製造方法、レジスト下層膜材料、レジスト下層膜形成方法及びパターン形成方法
KR20120073819A (ko) 2010-12-27 2012-07-05 제일모직주식회사 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 반도체 집적회로 디바이스의 제조 방법
FI20115628L (fi) * 2011-06-20 2012-12-21 Silecs Oy Uusi polymeerikoostumus ja menetelmä sen valmistamiseksi
KR20130026912A (ko) 2011-09-06 2013-03-14 제일모직주식회사 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
KR101484568B1 (ko) 2012-09-21 2015-01-21 금호석유화학 주식회사 고내에칭성 카본 하드마스크 중합체 및 이를 포함하는 반사방지 하드마스크 조성물, 및 이를 이용한 반도체 소자의 패턴 형성 방법
KR101507830B1 (ko) 2012-09-21 2015-04-27 금호석유화학 주식회사 스핀 온 카본 하드마스크용 중합체를 포함하는 스핀 온 카본 하드마스크 조성물 및 이를 이용한 반도체 소자의 패턴 형성 방법
CN103059270B (zh) 2012-12-13 2015-10-28 中南大学 一种1,3,5-三嗪基纳米孔有机芳杂环聚合物及其制备方法
CN104812729B (zh) * 2012-12-26 2017-05-10 第一毛织株式会社 单体、包含此单体的硬屏蔽组成物及使用此硬屏蔽组成物形成图案的方法
KR101556275B1 (ko) 2012-12-28 2015-09-30 제일모직 주식회사 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
JP5913191B2 (ja) * 2013-05-08 2016-04-27 信越化学工業株式会社 レジスト下層膜形成方法及びパターン形成方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103229104A (zh) * 2010-12-09 2013-07-31 日产化学工业株式会社 包含含有羟基的咔唑酚醛清漆树脂的形成抗蚀剂下层膜的组合物

Also Published As

Publication number Publication date
CN105280481A (zh) 2016-01-27
TW201602731A (zh) 2016-01-16
TWI554836B (zh) 2016-10-21
KR101747229B1 (ko) 2017-06-14
KR20160008927A (ko) 2016-01-25
US9568825B2 (en) 2017-02-14
US20160017174A1 (en) 2016-01-21

Similar Documents

Publication Publication Date Title
CN105280481B (zh) 硬掩膜组成物和使用所述硬掩膜组成物形成图案的方法
CN106188504B (zh) 聚合物、有机层组合物、有机层以及形成图案的方法
CN105885018B (zh) 聚合物、有机层组成物、有机层以及形成图案的方法
CN105093834B (zh) 硬掩模组成物和使用所述硬掩模组成物形成图案的方法
US9359276B2 (en) Monomer for hardmask composition, hardmask composition including monomer, and pattern forming method using hardmask composition
TWI505036B (zh) 硬光罩組合物和形成圖案的方法以及包括該圖案的半導體積體電路裝置
CN104718497B (zh) 硬罩幕组成物及使用硬罩幕组成物的图案形成方法
KR101655394B1 (ko) 레지스트 하층막용 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
CN106046696B (zh) 有机层组合物、有机层以及形成图案的方法
CN104749886B (zh) 硬掩模组合物、形成图案的方法以及集成电路装置
TWI589619B (zh) 聚合物、有機層組合物、有機層以及形成圖案的方法
TWI597321B (zh) 有機層組成物以及形成圖案的方法
JP6978594B2 (ja) 向上した熱安定性を有する、ハードマスク及び充填材料として有用なスピンオン無機酸化物含有組成物
KR20130078432A (ko) 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
TWI535697B (zh) 硬罩幕組成物與其使用的單體及其圖案形成方法
TW201908355A (zh) 用以製備光阻下層膜的聚合物、包括該聚合物的光阻下層膜組成物以及使用該組成物製造半導體元件的方法
TWI644999B (zh) 聚合物、有機層組成物與形成圖案之方法
TW201229693A (en) Gap embedding composition, method of embedding gap and method of producing semiconductor device by using the composition
TWI576335B (zh) 單體、聚合物、有機層組成物、有機層及形成圖案的方法
CN105026389B (zh) 用于硬遮罩组成物的单体、包含所述单体的硬遮罩组成物及使用所述组成物形成图案的方法
KR20140083844A (ko) 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
TWI552996B (zh) 單體、有機層組成物、有機層以及形成圖案的方法
KR20140065190A (ko) 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
WO2018088658A1 (ko) 중합체, 유기막 조성물 및 패턴형성방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant