CN105027291A - 具有延伸凹陷的间隔体和源极/漏极区域的晶体管架构及其制造方法 - Google Patents

具有延伸凹陷的间隔体和源极/漏极区域的晶体管架构及其制造方法 Download PDF

Info

Publication number
CN105027291A
CN105027291A CN201380074021.1A CN201380074021A CN105027291A CN 105027291 A CN105027291 A CN 105027291A CN 201380074021 A CN201380074021 A CN 201380074021A CN 105027291 A CN105027291 A CN 105027291A
Authority
CN
China
Prior art keywords
recess
integrated circuit
semiconductor substrate
drain
infusion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201380074021.1A
Other languages
English (en)
Inventor
W·M·哈菲兹
J·朴
J-Y·D·叶
C-H·简
C·蔡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN105027291A publication Critical patent/CN105027291A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66681Lateral DMOS transistors, i.e. LDMOS transistors
    • H01L29/66689Lateral DMOS transistors, i.e. LDMOS transistors with a step of forming an insulating sidewall spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/66719With a step of forming an insulating sidewall spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

公开了用于形成具有延伸凹陷的间隔体和源极/漏极(S/D)区域的晶体管架构的技术。在一些实施例中,可(例如)在基于鳍状物的场效应晶体管(finFET)的鳍状物的顶部中形成凹部,以使得所述凹部允许在所述finFET中形成相邻于栅极叠置体的延伸凹陷的间隔体和S/D区域。在一些情况下,此配置在所述鳍状物的顶部中提供了较高的电阻路径,这可减小所述finFET中的栅致漏极泄漏(GIDL)。在一些实施例中,可提供对GIDL的起始的精确调整。一些实施例可提供结漏(Lb)的减小和阈值电压(VT)的同时增加。在一些实施例中,所公开的技术可借助平面和非平面的基于鳍状物的架构来实施并且可用于标准金属氧化物半导体(MOS)和互补MOS(CMOS)工艺流程中。

Description

具有延伸凹陷的间隔体和源极/漏极区域的晶体管架构及其制造方法
背景技术
深亚微米工艺节点(例如,32nm及以上)中的集成电路(IC)设计涉及诸多艰巨的挑战,并且晶体管结构已面临特别的复杂状况,例如关于实现低功率性能的那些复杂状况。持续的工艺缩放将趋向于加剧此类问题。
附图说明
图1是根据实施例配置的集成电路(IC)的横截面侧视图。
图2是根据实施例的在蚀刻图1的IC之后的横截面侧视图。
图3是根据实施例的在进一步蚀刻图2的IC之后的横截面侧视图。
图4是根据实施例的在进一步蚀刻图3的IC之后的横截面侧视图。
图5是根据实施例的图4的IC在形成凹陷的间隔体之后的横截面侧视图。
图6是根据实施例的图5的IC在形成凹陷的源极/漏极(S/D)注入物之后的横截面侧视图。
图6'是图6的IC的横截面透视图。
图6”是沿图6'中的虚线A—A取得的横截面视图。
图7是根据实施例的图6的IC在提供层间电介质(ILD)填充物并且形成替代金属栅极(RMG)之后的横截面侧视图。
图8是根据实施例的图7的IC在形成S/D触点之后的横截面侧视图。
图8'是由图8中所包含的虚线椭圆圈起的部分的展开图。
图9是根据实施例配置的实际的例示性IC的横截面侧视图。
图10根据例示性实施例示出了借助使用所公开的技术形成的集成电路结构或装置实施的计算系统。
结合本文中所述的图,通过阅读以下具体实施方式将更好地理解本实施例的这些和其它特征。在这些图式中,可用相似编号表示在各种图中示出的每一相同或几乎相同组件。为清楚起见,并非每一组件都可标记在每个图式中。此外,如将了解,这些图未必按比例绘制或打算将所述实施例限于所示具体配置。例如,虽然一些图通常指示直线、直角和光滑表面,但所公开的技术的实际实施方案可具有不够完美的直线、直角等,并且一些特征可具有表面拓扑结构或以其它方式不光滑,在给出制作工艺的现实世界限制的情况下。简言之,仅提供这些图以显示例示性结构。
具体实施方式
公开了用于形成具有延伸凹陷的间隔体和源极/漏极(S/D)区域的晶体管架构的技术。在一些实施例中,可(例如)在基于鳍状物的场效应晶体管(finFET)的鳍状物的顶部中形成凹部,以使得该凹部允许在该finFET中形成相邻于栅极叠置体的延伸凹陷的间隔体和S/D区域。在一些情况下,此配置在该鳍状物的顶部中提供较高电阻路径,此因此可帮助最小化或以其它方式减小该finFET中的栅致漏极泄漏(GIDL)。在一些实施例中,可提供对GIDL的起始的精确调整。在一些情况下,如本文中所述配置的晶体管架构可展示结漏(Lb)的减小,而同时呈现阈值电压(VT)的增加。在一些实施例中,所公开的技术可借助平面和非平面基于鳍状物的架构实施并且可用于标准金属氧化物半导体(MOS)和互补MOS(CMOS)工艺流程中。根据此公开内容将显而易见诸多配置和变型。
总体概述
如先前所指出的,在深亚微米工艺节点(例如,32nm及以上)中存在诸多可由晶体管引起的困难的问题,这些问题使实现低功率性能的能力复杂化。例如,一个困难的问题是关于如下事实:金属氧化物半导体场效应晶体管(MOSFET)通常经历数种不同类型的电流泄漏(包含栅漏、关断状态(或亚阈值)电流泄漏和结漏),这些电流泄漏中的每一者可消极地影响实现低功率晶体管性能的能力。在这些各种类型的泄漏中,结漏是一个主要泄漏分量,并且栅致漏极泄漏(GIDL)是主要结漏形式,在基于鳍状物的场效应晶体管(finFET)架构的背景中特别如此。
用以解决结漏问题的传统方法包含结分级、共同注入优化和氧化物厚度控制,但每一解决方法因增加亚阈值(关断状态)泄漏而具有显著负面后果。增加氧化物厚度以降低栅漏以短通道控制的损失为代价出现。可提供较宽栅极长度以降低关断状态/亚阈值电流泄漏,但不受控制的横向缩放通常需要关断状态/亚阈值电流通过阈值电压(VT)减小。充分增加VT并且补偿因氧化物厚度(针对栅漏)和亚阈值电流(因较短栅极长度)的增加而导致的短通道控制的损失所需的高晕环注入剂量通常在晶体管的源极/漏极(S/D)和本体之间形成清晰的掺杂分布剖面。在一些情况下,可通过修改本体/通道和S/D区域之间的掺杂分布剖面来减轻结漏,并且可增加VT以控制短通道效应(例如,特别是在其中短栅极长度产生高关断状态电流的缩放技术中、在低功率装置中)。然而,如与平面架构相比,finFET针对给定晕环注入剂量通常呈现对VT控制的减小的敏感度,因此需要较高注入以增加晶体管VT,此又加剧此晶体管架构的结漏性能问题。另一困难的问题涉及如下事实:缩放到较高性能的工艺技术通常以泄漏为代价实现。特定来说,较短栅极长度需要更多晕环注入配量来控制短通道行为(关断状态电流),并且由此结漏增加,从而妨碍实现低功率性能的能力。
因此,根据本公开内容的实施例,公开了用于形成具有延伸凹陷的间隔体和源极/漏极(S/D)区域的晶体管架构的技术。在一些实施例中,所公开的技术可(例如)用于接近栅极叠置体、在这些S/D区域中、在基于鳍状物的场效应晶体管(finFET)的鳍状物的顶部中形成凹部。同样,此凹部可允许在该finFET中形成延伸凹陷的间隔体和S/D区域。在一些情况下,此配置在该鳍状物的顶部中提供较高电阻路径(例如,其中GIDL电流通常最主要),这因此可帮助最小化或以其它方式减小该finFET中的GIDL。
一般来说,晶体管中的结漏通常在VT增加时增加。然而,在一些实施例中,通过相邻于该栅极叠置体在该间隔体和S/D区域处在该鳍状物的顶部中引入凹部,该晶体管的VT可在结漏无任何增加(或具有原本微不足道的增加)的情况下增加。在一些情况下,如本文中所述配置的晶体管架构可展示对本体(Lb)的泄漏的减小(例如,结漏的减小),而同时呈现VT的增加。例如,在具有硅(Si)鳍状物的finFET的例示性情况中,可实现十倍或更大结漏减小,而同时提供在约100mV(例如,±25%)的范围内的VT增加,如与现有架构相比。如根据本公开内容将理解的,根据其它实施例,所公开的技术可用于提供其它范围和子范围的Lb和VT改进,如针对给定目标应用或最终用途所期望的。
在一些实施例中,所公开的技术可用于实现对finFET架构中的GIDL的起始的精确调整,这可帮助减小此finFET的结漏。同样,在一些实施例中,如与常规装置相比,可在不改变栅极长度的情况下降低结漏和关断状态电流,此又可为本文中所公开的技术和架构提供缩放优点(例如,如与现有方法相比)。一般来说,根据一些实施例,主要(例如)在finFET架构(诸如例如三栅极和/或其它三维/非平面晶体管架构)的背景中论述本文中所公开的技术。然而,应当注意,所公开的技术并不如此仅限于关于finFET架构的实施方案。例如,在一些其它实施例中,所公开的技术可用于最小化或以其它方式减小平面晶体管架构中的结漏。在更一般意义上来说,根据一个或多个实施例,所公开的技术可(例如)用于任何标准和/或自定义金属氧化物半导体(MOS)或互补MOS(CMOS)工艺流程中。
在一些实施例中,所公开的技术可用于提供低功率晶体管架构。在一些情况下,此类架构可用于多种多样的应用中的任一应用(例如片上系统(SoC)应用)中、嵌入式段中和/或功率敏感电子装置(例如移动电话、平板计算机、笔记本计算机和其它便携式计算装置)中。在更一般意义上来说,所公开的技术和架构可用于可能得益于低功率晶体管结构的使用的任一应用中。根据此公开内容将显而易见众多合适的用途和应用。同样,根据实施例,可(例如)由具有包含如本文中所述配置的延伸凹陷的S/D区域的晶体管架构的给定IC或其它装置的视觉检测或其它检查(例如,显微镜等)来检测所公开的技术的使用。
方法和架构
图1-8根据实施例示出了集成电路(IC)制作工艺流程。图1-8中示出的这些视图中的每一视图沿实质上正交于栅极(例如,OGD切口)的横截面取得。现转向这些图,该工艺流程如在图1那样开始,图1是根据实施例配置的IC 100的横截面侧视图。如图可见,IC 100包含衬底110。衬底110可具有适于(例如)充当可在其上构建半导体装置(例如,晶体管)的基础的任何配置和厚度。在一些情况下,衬底110可被配置为鳍状物(例如,基于鳍状物的场效应晶体管或finFET架构的鳍状物)。同样,衬底110可包括多种多样的材料中的任一材料,这些材料包含:硅(Si);锗(Ge);III-V材料和/或适于形成IC 100的所期望半导电通道的任一其它导电材料,如根据本公开内容将显而易见。此外,衬底110可使用多种多样的工艺中的任一工艺形成,这些工艺包含:晶体生长;化学气相沉积(CVD);外延;原子层沉积(ALD)和/或它们的任意组合。用于形成衬底110的其它合适配置、材料和技术将取决于给定应用并且根据此公开内容将显而易见。
同样,如图1所示出的,在衬底110上方提供虚设栅极氧化物(DGO)层120。DGO层120可具有任何所期望配置和厚度,并且在一些情况下,可提供在衬底110上方作为实质上保形层。为此,DGO层120可使用多种工艺中的任一种工艺形成,这些工艺包含:热生长;原子层沉积(ALD);化学气相沉积(CVD)和/或它们的任意组合。同样,在一些实施例中,DGO层120可包括(例如)氧化物,例如二氧化硅(SiO2)和/或氧化铝(Al2O3)。然而,DGO层120在材料成分上并不如此受限,如在更一般意义上来说,DGO层120可以是为给定目标应用或最终用途提供所期望电隔离量的任一绝缘体材料,如根据本公开内容将显而易见的。如下文参考图3和图7所述,并且根据一些实施例,DGO层120还可充当牺牲层,并且在一些情况下可用作蚀刻停止层(例如,在蚀刻虚设栅极层130时,下文对此进行论述)。一般来说,通过选择性地薄化或去除DGO层120,可控制栅极层130蚀刻以侵入扩散层,从而如本文中所述形成凹部105。用于形成DGO层120的其它合适配置、材料和技术将取决于给定应用并且根据此公开内容将显而易见。
如从图1进一步可见的,在DGO层120上方提供虚设栅极层130。虚设栅极层130可具有任何所期望配置和厚度,并且在一些情况下,可提供在DGO层120上方作为实质上保形层。为此,虚设栅极层130可使用多种的工艺中的任一种工艺形成,这些工艺包含:化学气相沉积(CVD);外延(例如液相外延(LPE))和/或它们的任意组合。同样,在一些实施例中,虚设栅极层130可包括(例如):多晶硅;非晶硅;氮化物(例如氮化硅(Si3N4))和/或具有足够弹性以充当虚设栅极的任一其它材料,如根据本公开内容将显而易见。如下文参考图7所述,并且根据实施例,虚设栅极层130可充当牺牲层(例如,其可由替代金属栅极或RMG层180替代,下文对此进行论述)。用于形成虚设栅极层130的其它合适配置、材料和技术将取决于给定应用并且根据此公开内容将显而易见。
如图进一步可见,在虚设栅极层130上方提供硬掩模层140。硬掩模层140可具有任何所期望配置和厚度,并且在一些情况下,可提供在虚设栅极层130上方作为实质上的保形层。为此,硬掩模层140可(例如)使用化学气相沉积(CVD)和/或适于提供一层硬掩模材料的任一其它工艺形成,如根据本公开内容将显而易见。同样,在一些实施例中,硬掩模层140可包括(例如)氮化物,例如氮化硅(Si3N4)。然而,硬掩模层140在材料成分上并不如此受限,如在更一般意义上来说,硬掩模层140可以是针对给定目标应用或最终用途具有足够弹性的任一硬掩模材料,如根据本公开内容将显而易见。如图1中所示出,并且根据实施例,可图案化硬掩模层140。视期望,可利用任何标准和/或自定义图案化工艺来图案化硬掩模层140。用于形成和图案化硬掩模层140的其它合适配置、材料和技术将取决于给定应用并且根据此公开内容将显而易见。
该工艺流程如图2中那样继续,图2是根据实施例图1的IC 100在蚀刻之后的横截面侧视图。如图可见,作为该蚀刻工艺的结果,一个或多个凹部105形成在虚设栅极层130中,例如,在于硬掩模层140的图案化期间暴露的区域中,如上所述。根据一些实施例,可(例如)使用湿式蚀刻工艺、干式蚀刻工艺和/或它们的任意组合进行虚设栅极层130的蚀刻。如根据本公开内容将了解,在蚀刻虚设栅极层130的过程中利用的蚀刻工艺可至少部分取决于IC 100的层130和/或其它层的材料成分。在任一此情况下,可视期望针对给定目标应用或最终用途自定义给定凹部105的尺寸和各向同性/各向异性量。如将进一步了解,可能期望确保给定凹部105的几何结构(例如,尺寸和/或各向同性/各向异性)(例如)适于将构建的给定触点架构(诸如例如下文一般参考图5-8所述的触点架构)。用于蚀刻虚设栅极层130的其它合适蚀刻工艺将取决于给定应用并且根据此公开内容将显而易见。
该工艺流程如图3中那样继续,图3是根据实施例图2的IC 100在其进一步蚀刻之后的横截面侧视图。如图可见,作为该蚀刻工艺的结果,最初在虚设栅极层130的蚀刻期间形成的一个或多个凹部105延伸到DGO层120中。根据一些实施例,可(例如)使用湿式蚀刻工艺、干式蚀刻工艺和/或它们的任意组合进行DGO层120的蚀刻。在一些情况下,用于蚀刻DGO层120的蚀刻工艺可以是在蚀刻虚设栅极层130的过程中利用的蚀刻工艺的接续,如上所述。然而,在一些其它情况下,单独或原本不同的蚀刻工艺可(例如)用于一些例示性实施例中,虚设栅极层130的蚀刻可停止,可实施DGO层120的选择性图案化,并且可使用随后蚀刻工艺来蚀刻DGO层120。在任一情况下,在蚀刻DGO层120的过程中利用的蚀刻工艺可至少部分取决于IC 100的DGO层120和/或其它层的材料成分,如根据本公开内容将了解。用于蚀刻DGO层120以延伸给定凹部105的其它合适蚀刻工艺将取决于给定应用并且根据本公开内容将显而易见。
在一些实施例中,DGO层120最初可仅经受部分蚀刻,从而在其给定区域中薄化或以其它方式减小DGO层120的厚度;即,未蚀刻掉DGO层120的全部厚度,并且因此,可使给定凹部105最初在DGO层120内终止,但不完全延伸穿过DGO层120(诸如例如在图3中通常所示)。然而,在其它情况中,可实施蚀刻穿过DGO层120的全部厚度;即,可使给定凹部105在无上述中间薄化的情况下在其表面间完全延伸穿过DGO层120。如下文参考图4所述,并且根据实施例,DGO层120经受的薄化/蚀刻穿过的程度可影响给定凹部105延伸到衬底110中的最终深度(δ)。同样,如先前所述,通过选择性地薄化或去除DGO层120,可控制栅极层130蚀刻以侵入扩散层,从而如本文中所述形成凹部105。根据此公开内容将显而易见众多配置。
该工艺流程如图4中那样继续,图4是根据实施例图3的IC 100在其进一步蚀刻之后的横截面侧视图。如图可见,作为该蚀刻工艺的结果,一个或多个凹部105进一步延伸到衬底110中。根据一些实施例,可(例如)使用湿式蚀刻工艺、干式蚀刻工艺和/或它们的任意组合进行衬底110的蚀刻。如根据本公开内容将进一步了解,在蚀刻衬底110的过程中利用的蚀刻工艺可至少部分取决于IC 100的衬底110和/或其它层的材料成分。用于蚀刻衬底110以进一步延伸给定凹部105的其它合适蚀刻工艺将取决于给定应用并且根据本公开内容将显而易见。
如先前在图3的描述中所述,给定凹部105延伸到衬底110中的最终深度δ可(例如)受到DGO层120经受的蚀刻程度(例如,部分或全部)的影响。例如,根据一些实施例,部分蚀刻DGO层120可产生延伸到衬底110中达小于或等于约100nm(例如,在约1-20nm、约20-50nm、约50-80nm、约80-100nm的范围内或者小于或等于约100nm的任一其它子范围)的深度δ的凹部105。相反,并且根据一些其它实施例,全部蚀刻DGO层120可产生延伸到衬底110中达在约100-300nm或更大的范围内(例如,在约100-150nm、约150-200nm、约200-250nm、约250-300nm的范围内或者在约100-300nm或更大的范围内的任一其它子范围)的深度δ的凹部105。在更一般意义上来说,可通过调节DGO层120的薄化程度来调整给定凹部105延伸到衬底110中的深度δ,如针对给定目标应用或最终用途所期望。如根据本公开内容将了解,视期望还可自定义给定凹部105的其它尺寸和各向同性/各向异性程度。如将进一步了解,可能期望确保给定凹部105的最终几何结构(例如)适于将构建的给定触点架构(诸如例如下文一般参考图5-8所述的触点架构)。
在一些情况下,用于蚀刻衬底110的所期望停止点(例如,给定凹部105的所期望深度6)可至少部分取决于IC 100的所期望电特性/性能。例如,根据实施例,当给定凹部105延伸到衬底110中的深度δ增加时,由IC 100呈现的结漏(Lb)减少,并且其阈值电压(VT)增加。同样,根据一些实施例,增加给定凹部105的深度δ可(例如)通过增加其击穿电压、增加其输出电压和/或改善其关于热载流子效应(HCE)的性能来提高IC 100的可靠性。在衬底110被配置为硅(Si)鳍状物的一个例示性实施例中,可提供多达十倍或更高(例如,在约l-l0x或更大的范围内)的Lb减小,而同时提供在约100mV(例如,±25%)的范围内的VT增加,如与现有架构相比。这些和其它考虑可使IC 100期望供用于(例如)基于低功率晶体管的架构和装置中。根据此公开内容将显而易见其它合适的用途。
该工艺流程如在图5中那样继续,图5是根据实施例图4的IC 100在形成凹陷的间隔体150之后的横截面侧视图。间隔体150可(例如)沿给定凹部105的侧壁形成(例如,可使其从硬掩模140延伸到衬底110中的凹部105的底部)。在此意义上来说,间隔体150可称为凹陷的间隔体。间隔体150可具有任何厚度,如针对给定目标应用或最终用途所期望;然而,可能期望确保间隔体150的厚度并不过度到闭塞或者阻挡凹部105接纳将构建的给定触点架构(例如,间隔体150、注入物160、填充物170和触点190,下文将依次对每一者进行论述)。为此,间隔体150可(例如)使用多种工艺中的任一种工艺形成,这些工艺包含:化学气相沉积(CVD);原子层沉积(ALD)和/或它们的任意组合。同样,在一些实施例中,间隔体150可包括(例如)氮化物(例如氮化硅(Si3N4))和/或任一其它合适间隔层材料,如根据本公开内容将显而易见。用于形成间隔体150的其它合适配置、材料和技术将取决于给定应用并且根据此公开内容将显而易见。
该工艺流程如图6中那样继续,图6是根据实施例图5的IC 100在形成凹陷的源极/漏极(S/D)注入物160之后的横截面侧视图。图6'是图6的IC的横截面透视图,并且图6”是沿图6'中的虚线A—A取得的横截面视图。如图可见,S/D注入物160可在在形成间隔体150之后剩余的空间中形成(例如)在给定凹部105内,并且因此可称为凹陷的S/D注入物。给定S/D注入物160可具有任何厚度/高度,如针对给定目标应用或最终用途所期望;然而,可能期望确保给定S/D注入物160在尺寸上并不如此过度,从而防止或以其它方式妨碍凹部105接纳所期望触点架构。为此,给定S/D注入物160可(例如)使用多种多样的工艺中的任一工艺形成,这些工艺包含:外延;原子层沉积(ALD)和/或它们的任意组合。同样,在一些实施例中,给定S/D注入物160可包括(例如):硅(Si);硅锗(SiGe);碳化硅(SiC)和/或任一其它合适S/D注入物材料,如根据本公开内容将显而易见。用于形成给定S/D注入物160的其它合适配置、材料和技术将取决于给定应用并且根据本公开内容将显而易见。
如图进一步可见,可在衬底110上方提供浅沟槽隔离(STI)层125。根据实施例,STI层125可以任何所期望初始厚度提供在衬底110上方。为此,STI层125可使用多种多样的技术中的任一技术形成,这些技术包含:高密度等离子体(HDP)化学气相沉积(CVD);旋转涂布/旋涂沉积(SOD)和/或它们的任意组合。在一些情况下,可能期望减小STI层125的厚度,以使其凹陷低于衬底110的鳍状物的高度。为此,并且根据实施例,可使用任何合适湿式和/或干式蚀刻工艺,如根据本公开内容将显而易见。如将进一步了解,视期望可自定义STI层125的凹陷程度。同样,在一些实施例中,STI层125可包括(例如)氧化物,例如二氧化硅(SiO2)。然而,STI层125在材料成分上并不如此受限,如在更一般意义上来说,STI层125可以是针对给定目标应用或最终用途提供所期望电隔离量的任一绝缘体材料,如根据本公开内容将显而易见。用于提供STI层125并且使STI层125凹陷的其它合适材料、配置和技术将取决于给定应用并且根据此公开内容将显而易见。
该工艺流程如图7中那样继续,图7是根据实施例的图6的IC 100在提供层间电介质(ILD)填充物170并且形成替代金属栅极(RMG)180之后的横截面侧视图。如图可见,IC 100的一个或多个凹部105可接纳ILD填充物材料170。ILD 170可(例如)使用多种多样的工艺中的任一工艺提供,这些工艺包含:高密度等离子体(HDP)化学气相沉积(CVD);旋转涂布/旋涂沉积(SOD)和/或它们的任意组合。同样,在一些实施例中,ILD170可包括(例如)氧化物(例如二氧化硅(SiO2))和/或可充当ILD的任一其它材料,如根据本公开内容将显而易见。用于形成ILD 170的其它合适配置、材料和技术将取决于给定应用并且根据此公开内容将显而易见。
同样,如图可见,可从IC 100去除硬掩模层140和虚设栅极层130。可使用任何合适蚀刻、抛光和/或清洁工艺进行硬掩模层140和虚设栅极层130的去除,如根据本公开内容将显而易见。如先前所述,DGO层120可(例如)在虚设栅极层130的去除期间充当蚀刻停止层。
如图进一步可见,可在去除虚设栅极层130之后可用的区域中形成一个或多个RMG 180;因此,如先前所述,根据实施例,虚设栅极层130可充当牺牲层。给定RMG 180可具有任何尺寸,如针对给定目标应用或最终用途所期望的。为此,给定RMG 180可(例如)使用多种多样的工艺中的任一工艺形成,这些工艺包含:物理气相沉积(PVD)(例如溅镀或蒸发);化学气相沉积(CVD);金属沉积工艺和/或它们的任意组合。同样,在一些实施例中,给定RMG 180可包括许多各种不同材料中的任一材料,这些材料例如是:钨(W);铝(Al);钛(Ti);铜(Cu);其中一者的合金和/或任一其它合适导电材料,如根据本公开内容将显而易见。用于形成给定RMG 180的其它合适配置、材料和工艺将取决于给定应用并且根据本公开内容将显而易见。
该工艺流程如图8中那样继续,图8是根据实施例图7的IC 100在形成源极/漏极(S/D)触点190之后的横截面侧视图。如图可见,给定S/D触点190可形成(例如)在凹部105内(例如,凹部105还可包含间隔体150、S/D注入物160和/或ILD填充物170,如先前所论述)。在一些情况下,在形成期间,给定S/D触点190可变成插入或以其它方式部分嵌入对应S/D注入物160中,例如在是图8的由其中所含虚线椭圆圈起的部分的展开图的图中所通常绘示。在一些情况下,给定S/D触点190可定尺寸以使得其与相邻间隔体150进行物理接触。然而,在其它情况下,ILD 170的一部分可在给定触点190与相邻间隔体150之间保持完整无缺(诸如,例如在图8'中可见)。在任一此情况下,给定S/D触点190可(例如)使用许多各种不同工艺中的任一工艺形成,这些工艺包含:物理气相沉积(PVD)(例如溅镀);电镀和/或它们的任意组合。同样,并且根据一个或多个实施例,给定S/D触点190可包括上文(例如)关于RMG 180所述相同材料中的任一材料。用于形成给定S/D触点190的其它合适配置、材料和技术将取决于给定应用并且根据本公开内容将显而易见。
图9示出根据实施例配置的实际例示性IC的横截面侧视图。如图可见,间隔体150和S/D注入物160在衬底110内凹陷,如先前所论述的。
例示性系统
图10根据例示性实施例示出借助使用所公开的技术形成的集成电路结构或装置构建的计算系统1000。如图可见,计算系统1000收纳母板1002。母板1002可包含许多组件,包含(但不限于)处理器1004和至少一个通信芯片1006,这些组件中的每一组件可物理并且电耦合到母板1002,或以其它方式集成在母板1002中。如将了解,母板1002可以是(例如)任一印刷电路板,主板、安装在主板上的子板或仅系统1000的板等。依据其应用,计算系统1000可包含可或者可能不物理并且电耦合到母板1002的一个或多个其它组件。这些其它组件可包含(但不限于)易失性存储器(例如,DRAM)、非易失性存储器(例如,ROM)、图形处理器、数字信号处理器、密码处理器、芯片组、天线、显示器、触摸屏显示器、触摸屏控制器、电池、音频编码解码器、视频编码解码器、功率放大器、全球定位系统(GPS)装置、罗盘、加速计、陀螺仪、扬声器、相机以及大容量存储装置(例如硬盘驱动器、光盘(CD)、数字通用光盘(DVD)等等)。根据例示性实施例,计算系统1000中所包含组件中的任一组件可包含使用所公开的技术形成的一个或多个集成电路结构或装置。在一些实施例中,若干功能可集成到一个或多个芯片中(例如,举例来说,注意,通信芯片1006可以是处理器1004的一部分或以其它方式集成到处理器1004中)。
通信芯片1006实现无线通信以将数据传送到计算系统1000并且从计算系统1000传送数据。术语“无线”及其派生词可用于描述可通过非固态介质通过使用经调制电磁辐射通信数据的电路、装置、系统、方法、技术、通信通道等。该术语并不暗示相关联装置并不含有任何导线,虽然在一些实施例中,其可能不含有任何导线。通信芯片1006可实施许多无线标准或协议中的任一标准或协议,这些标准或协议包含(但不限于)Wi-Fi(IEEE802.11族)、WiMAX(IEEE 802.16族)、IEEE 802.20、长期演进(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、蓝牙、其衍生物、以及命名为3G、4G、5G及其以后的任何其它无线协议。计算系统1000可包含多个通信芯片1006。举例来说,第一通信芯片1006可专用于较短范围无线通信(例如Wi-Fi和蓝牙),并且第二通信芯片1006可专用于较长范围无线通信(例如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO以及其他)。
计算系统1000的处理器1004包含封装在处理器1004内的集成电路裸片。在一些实施例中,该处理器的该集成电路裸片包含借助使用所公开的技术形成的一个或多个集成电路结构或装置构建的板载电路系统,如本文中个别地描述。术语“处理器”可指代处理(例如)来自寄存器和/或存储器的电子数据以将此电子数据转变成可存储在寄存器和/或存储器中的其它电子数据的任一装置或装置的一部分。
通信芯片1006还可包含封装在通信芯片1006内的集成电路裸片。根据一些此类例示性实施例,该通信芯片的该集成电路裸片包含通过使用IC制作技术形成的一个或多个集成电路结构或装置,如本文中所述。如根据此公开内容将了解,注意,多标准无线能力可直接集成到处理器1004中(例如,其中任何芯片1006的功能集成到处理器1004中,而非具有单独通信芯片)。进一步注意,处理器1004可以是具有此无线能力的芯片组。简言之,可使用任何数目个处理器1004和/或通信芯片1006。同样地,任何一个芯片或芯片组中可集成有若干功能。
在各种实施方案中,计算装置1000可以是膝上型计算机、上网本、笔记本计算机、智能电话、平板计算机、个人数字助理(PDA)、超级移动计算机、移动电话、台式计算机、服务器、打印机、扫描仪、监视器、机顶盒、娱乐控制单元、数字相机、便携式音乐播放器、数字视频录像机或处理数据或采用使用所公开的技术形成的一个或多个集成电路结构或装置的任一其它电子装置,如本文中个别地描述。
进一步例示性实施例
以下实例关于进一步的实施例,根据这些实施例诸多替换和配置将显而易见。
实例1是一种集成电路,其包括:半导体衬底;栅极氧化物层,其设置在该半导体衬底上方;栅极金属层,其设置在该栅极氧化物层的一部分上方;第一凹部和第二凹部,其延伸穿过该栅极氧化物层并且进入到该半导体衬底中并且相邻于该栅极氧化物层和栅极金属层的对应第一侧壁和第二侧壁;第一间隔体和第二间隔体,其沿该栅极氧化物层和栅极金属层的该对应第一侧壁和第二侧壁设置并且分别延伸到该第一凹部和第二凹部中;以及源极/漏极(S/D)注入物,其分别设置在该第一凹部和第二凹部内。
实例2包含根据实例1和3至18中的任一实例所述的主题,其中,S/D注入物包含硅(Si)、硅锗(SiGe)和/或碳化硅(SiC)的至少其中之一。
实例3包含根据实例1至2和4至18中的任一实例所述的主题,其中S/D注入物包括外延硅(Si)。
实例4包含根据实例1至3和5至18中的任一实例所述的主题,其中该栅极氧化物层充当牺牲层。
实例5包含根据实例1至4和6至18实例中的任一实例所述的主题,并且还包含相邻于该第一间隔体和第二间隔体设置在该第一凹部和第二凹部内的层间电介质(ILD)。
实例6包含根据实例1至5和7至18中的任一实例所述的主题,并且还包含分别设置在该第一凹部和第二凹部内的第一源极/漏极(S/D)触点和第二源极/漏极(S/D)触点。
实例7包含根据实例6所述的主题,其中该第一S/D触点和第二S/D触点分别延伸到设置在该第一凹部和第二凹部内的S/D注入物中。
实例8包含根据实例1至7和9至18中的任一实例所述的主题,其中该第一凹部和第二凹部延伸到该半导体衬底中达在约1-100nm的范围内的距离。
实例9包含根据实例1至8和10至18中的任一实例所述的主题,其中该第一凹部和第二凹部延伸到该半导体衬底中达在约100-300nm的范围内的距离。
实例10包含根据实例1至9、11和17至18中的任一实例所述的主题,其中该集成电路包括平面金属氧化物半导体场效应晶体管(MOSFET)。
实例11包含根据实例10所述的主题,其中该第一凹部和第二凹部定位在该平面MOSFET的经历结漏的区域中。
实例12包含根据实例1至9、13和17至18中的任一实例所述的主题,其中该集成电路包括三栅极金属氧化物半导体场效应晶体管(MOSFET)。
实例13包含根据实例12所述的主题,其中该第一凹部和第二凹部定位在该三栅极MOSFET的经历结漏(Lb)的区域中。
实例14包含根据实例1至9和15至18中的任一实例所述的主题,其中该集成电路包括基于鳍状物的场效应晶体管(finFET)。
实例15包含根据实例14所述的主题,其中该第一凹部和第二凹部定位在该finFET的经历栅致漏极泄漏(GIDL)的区域中。
实例16包含根据实例14所述的主题,其中该半导体衬底包括该finFET的鳍状物,并且其中该第一凹部和第二凹部延伸到该鳍状物的顶部部分中。
实例17包含根据实例1至16和18中的任一实例所述的主题,其中该集成电路呈现减小的结漏(Lb)和/或增加的阈值电压(VT)的至少其中之一。
实例18包含根据实例1至17中的任一实例所述的主题,其中该集成电路呈现经改善击穿电压、经改善输出电压和/或经改善热载流子效应(HCE)性能的至少其中之一。
实例19是一种包括根据实例1至18中的任一实例所述的集成电路的片上系统(SoC)。
实例20是一种包括根据实例1至18中的任一实例所述的集成电路的嵌入式段。
实例21是一种包括根据实例1至18中的任一实例所述的集成电路的便携式计算装置。
实例22包含根据实例21所述的主题,其中该便携式计算装置包括膝上型计算机、上网本、笔记本计算机、超级移动个人计算机、智能电话、移动电话、平板计算机、个人数字助理(PDA)、便携式音乐播放器(PMP)和/或数字相机的至少其中之一。
实例23是一种形成集成电路的方法,该方法包括:在半导体衬底上方形成栅极氧化物层;在该栅极氧化物层上方形成栅极层;相邻于该栅极氧化物层和栅极层的对应第一侧壁和第二侧壁形成第一凹部和第二凹部,每一凹部延伸穿过该栅极氧化物层并且进入到该半导体衬底中;分别在该第一凹部和第二凹部内形成第一间隔体和第二间隔体,这些间隔体沿该栅极氧化物层和栅极层的该对应第一侧壁和第二侧壁延伸并且进入到该半导体衬底中;以及分别在该第一凹部和第二凹部内形成源极/漏极(S/D)注入物,这些注入物延伸到该半导体衬底中。
实例24包含根据实例23和25至34中的任一实例所述的主题,其中在该半导体衬底上方形成该栅极氧化物层包括使用热生长工艺、原子层沉积(ALD)工艺、化学气相沉积(CVD)工艺的至少其中之一和/或它们的任意组合。
实例25包含根据实例23至24和26至34中的任一实例所述的主题,其中在该栅极氧化物层上方形成该栅极层包括使用物理气相沉积(PVD)工艺、溅镀工艺、蒸发工艺、化学气相沉积(CVD)工艺、金属沉积工艺的至少其中之一和/或它们的任意组合。
实例26包含根据实例23至25和27至34中的任一实例所述的主题,其中形成该第一凹部和第二凹部包括使用蚀刻工艺。
实例27包含根据实例23至26和28至34中的任一实例所述的主题,其中在该第一凹部和第二凹部内形成该第一间隔体和第二间隔体包括使用化学气相沉积(CVD)工艺、原子层沉积(ALD)工艺的至少其中之一和/或它们的任意组合。
实例28包含根据实例23至27和29至34中的任一实例所述的主题,其中形成这些S/D注入物包括使用外延工艺、原子层沉积(ALD)工艺的至少其中之一和/或它们的任意组合。
实例29包含根据实例23至28和30至34中的任一实例所述的主题,其中在于该栅极氧化物层上方形成该栅极层之前,该方法还包括:在该栅极氧化物层上方形成虚设栅极层,该虚设栅极层随后由该栅极层替代。
实例30包含根据实例29所述的主题,其中在该栅极氧化物层上方形成该虚设栅极层包括使用化学气相沉积(CVD)工艺、外延工艺、液相外延(LPE)工艺的至少其中之一和/或它们的任意组合。
实例31包含根据实例23至30和32至34中的任一实例所述的主题,其中该方法还包括:在该第一凹部和第二凹部内设置层间电介质(ILD)。
实例32包含根据实例31所述的主题,其中在该第一凹部和第二凹部内设置该ILD包括使用高密度等离子体(HDP)化学气相沉积(CVD)工艺、旋转涂布/旋涂沉积(SOD)工艺的至少其中之一和/或它们的任意组合。
实例33包含根据实例23至32和34中的任一实例所述的主题,其中该方法还包括:分别在该第一凹部和第二凹部内形成第一源极/漏极(S/D)触点和第二源极/漏极(S/D)触点,其中该第一S/D触点和第二S/D触点中的每一者分别至少部分地嵌入到该第一凹部和第二凹部内的这些S/D注入物中。
实例34包含根据实例33所述的主题,其中在该第一凹部和第二凹部内形成该第一和第二S/D触点包括使用物理气相沉积(PVD)工艺、溅镀工艺、电镀工艺的至少其中之一和/或它们的任意组合。
实例35是一种包括根据实例23至34中的任一实例所述的方法的金属氧化物半导体(MOS)工艺流程。
实例36是一种由根据实例35所述的工艺流程形成的晶体管。
实例37是一种包括根据实例23至34中的任一实例所述的方法的互补金属氧化物半导体(CMOS)工艺流程。
实例38是一种由根据实例37所述的工艺流程形成的晶体管。
实例39是一种由根据实例23至34中的任一实例所述的方法形成的集成电路。
实例40是一种包括根据实例39所述的集成电路的片上系统(SoC)。
实例41是一种包括根据实例39所述的集成电路的嵌入式段。
实例42是一种包括根据实例39所述的集成电路的便携式计算装置。
实例43包含根据实例42所述的主题,其中该便携式计算装置包括膝上型计算机、上网本、笔记本计算机、超级移动个人计算机、智能电话、移动电话、平板计算机、个人数字助理(PDA)、便携式音乐播放器(PMP)和/或数字相机的至少其中之一。
实例44是一种晶体管,其包括:半导体衬底,其具有形成在其表面中的第一凹部和第二凹部,这些凹部延伸到该半导体衬底中;栅极本体,其形成在该半导体衬底的该表面上方并且相邻于该第一凹部和第二凹部且位于该第一凹部和第二凹部之间;第一间隔体和第二间隔体,其沿该栅极本体的对应第一侧壁和第二侧壁设置并且分别延伸到该第一凹部和第二凹部中;以及第一源极/漏极(S/D)架构和第二源极/漏极(S/D)架构,其相邻于该栅极本体形成,其中该第一S/D架构和第二S/D架构分别至少部分设置在该第一凹部和第二凹部内。
实例45包含根据实例44所述的主题,其中该第一和第二S/D架构中的每一者包括:S/D注入物;以及至少部分地嵌入到该S/D注入物中的S/D触点。
实例46包含根据实例45所述的主题,其中该S/D注入物包括硅(Si)、硅锗(SiGe)和/或碳化硅(SiC)的至少其中之一。
实例47包含根据实例45所述的主题,其中该S/D注入物包括外延硅(Si)。
实例48包含根据实例45所述的主题,其中该第一和第二S/D架构中的每一者还包括层间电介质(ILD),其中该S/D触点至少部分设置在该ILD内。
实例49包含根据实例44至48和50至63中的任一实例所述的主题,并且还包含栅极氧化物层,其设置在该栅极本体和该半导体衬底之间并且相邻于该第一凹部和第二凹部且位于该第一凹部和第二凹部之间。
实例50包含根据实例44至49和52至63中的任一实例所述的主题,其中该第一凹部和第二凹部中的每一者具有各向异性几何结构。
实例51包含根据实例44至49和52至63中的任一实例所述的主题,其中该第一凹部和第二凹部中的每一者具有各向同性几何结构。
实例52包含根据实例44至51和60至63中的任一实例所述的主题,其中该第一凹部和第二凹部中的每一者延伸到该半导体衬底中达在约1-20nm的范围内的深度。
实例53包含根据实例44至51和60至63中的任一实例所述的主题,其中该第一凹部和第二凹部中的每一者延伸到该半导体衬底中达在约20-50nm的范围内的深度。
实例54包含根据实例44至51和60至63中的任一实例所述的主题,其中该第一凹部和第二凹部中的每一者延伸到该半导体衬底中达在约50-80nm的范围内的深度。
实例55包含根据实例44至51和60至63中的任一实例所述的主题,其中该第一凹部和第二凹部中的每一者延伸到该半导体衬底中达在约80-100nm的范围内的深度。
实例56包含根据实例44至51和60至63中的任一实例所述的主题,其中该第一凹部和第二凹部中的每一者延伸到该半导体衬底中达在约100-150nm的范围内的深度。
实例57包含根据实例44至51和60至63中的任一实例所述的主题,其中该第一凹部和第二凹部中的每一者延伸到该半导体衬底中达在约150-200nm的范围内的深度。
实例58包含根据实例44至51和60至63中的任一实例所述的主题,其中该第一凹部和第二凹部中的每一者延伸到该半导体衬底中达在约200-250nm的范围内的深度。
实例59包含根据实例44至51和60至63中的任一实例所述的主题,其中该第一凹部和第二凹部中的每一者延伸到该半导体衬底中达在约250-300nm的范围内的深度。
实例60包含根据实例44至59中的任一实例所述的主题,其中该晶体管被配置为平面金属氧化物半导体场效应晶体管(MOSFET)。
实例61包含根据实例44至59中的任一实例所述的主题,其中该晶体管被配置为三栅极金属氧化物半导体场效应晶体管(MOSFET)。
实例62包含根据实例44至59中的任一实例所述的主题,其中该晶体管被配置为基于鳍状物的场效应晶体管(finFET)。
实例63包含根据实例62所述的主题,其中该半导体衬底包括该finFET的鳍状物,并且其中形成有该第一凹部和第二凹部的该表面包括该鳍状物的顶部部分,该第一凹部和第二凹部延伸到该鳍状物中。
已出于例示和描述目的呈现了例示性实施例的前述描述。并不旨在穷尽列举或将本公开内容限于所公开的精确形式。根据此公开内容,许多修改和变化都可行。本公开内容的范围并不由此具体实施方式限定,而是由所附权利要求加以限定。要求本申请的优先权的将来提出的申请可以以不同方式来要求保护所公开的主题并且通常可以包括如本文以各种方式公开并陈述的一个或多个限定的任意组。

Claims (25)

1.一种集成电路,包括:
半导体衬底;
栅极氧化物层,所述栅极氧化物层被设置在所述半导体衬底上方;
栅极金属层,所述栅极金属层被设置在所述栅极氧化物层的一部分上方;
第一凹部和第二凹部,所述第一凹部和所述第二凹部延伸穿过所述栅极氧化物层并进入到所述半导体衬底中,并且相邻于所述栅极氧化物层和所述栅极金属层的相对应的第一侧壁和第二侧壁;
第一间隔体和第二间隔体,所述第一间隔体和所述第二间隔体被设置为沿着所述栅极氧化物层和所述栅极金属层的所述相对应的第一侧壁和第二侧壁,并且分别延伸到所述第一凹部和所述第二凹部中;以及
源极/漏极(S/D)注入物,所述源极/漏极(S/D)注入物被分别设置在所述第一凹部和所述第二凹部内。
2.根据权利要求1所述的集成电路,其中,所述S/D注入物包含硅(Si)、硅锗(SiGe)和/或碳化硅(SiC)的至少其中之一。
3.根据权利要求1所述的集成电路,其中,所述S/D注入物包括外延硅(Si)。
4.根据权利要求1所述的集成电路,还包括层间电介质(ILD),所述层间电介质被设置在所述第一凹部和所述第二凹部内并相邻于所述第一间隔体和所述第二间隔体。
5.根据权利要求1所述的集成电路,还包括分别设置在所述第一凹部和所述第二凹部内的第一源极/漏极(S/D)触点和第二源极/漏极(S/D)触点,其中,所述第一S/D触点和所述第二S/D触点分别延伸到设置在所述第一凹部和所述第二凹部内的所述S/D注入物中。
6.根据权利要求1所述的集成电路,其中,所述第一凹部和所述第二凹部延伸到所述半导体衬底中达在约1-100nm的范围内的距离。
7.根据权利要求1所述的集成电路,其中,所述第一凹部和所述第二凹部延伸到所述半导体衬底中达在约100-300nm的范围内的距离。
8.根据权利要求1至7中任一项所述的集成电路,其中,所述集成电路包括平面金属氧化物半导体场效应晶体管(MOSFET),并且其中,所述第一凹部和所述第二凹部位于所述平面MOSFET的经历结漏(Lb)的区域中。
9.根据权利要求1至7中任一项所述的集成电路,其中,所述集成电路包括三栅极金属氧化物半导体场效应晶体管(MOSFET),并且其中,所述第一凹部和所述第二凹部位于所述三栅极MOSFET的经历结漏(Lb)的区域中。
10.根据权利要求1至7中的任一项所述的集成电路,其中,所述集成电路包括基于鳍状物的场效应晶体管(finFET),并且其中,所述第一凹部和所述第二凹部位于所述finFET的经历栅致漏极泄漏(GIDL)的区域中。
11.根据权利要求10所述的集成电路,其中,所述半导体衬底包括所述finFET的鳍状物,并且其中,所述第一凹部和所述第二凹部延伸到所述鳍状物的顶部部分中。
12.一种形成集成电路的方法,所述方法包括:
在半导体衬底上方形成栅极氧化物层;
在所述栅极氧化物层上方形成栅极层;
相邻于所述栅极氧化物层和所述栅极层的相对应的第一侧壁和第二侧壁形成第一凹部和第二凹部,所述第一凹部和第二凹部中的每一个凹部都延伸穿过所述栅极氧化物层并进入到所述半导体衬底中;
分别在所述第一凹部和所述第二凹部内形成第一间隔体和第二间隔体,所述间隔体沿着所述栅极氧化物层和所述栅极层的所述相对应的第一侧壁和第二侧壁延伸并进入到所述半导体衬底中;以及
分别在所述第一凹部和所述第二凹部内形成源极/漏极(S/D)注入物,所述注入物延伸到所述半导体衬底中。
13.根据权利要求12所述的方法,其中,在所述栅极氧化物层上方形成所述栅极层之前,所述方法还包括:
在所述栅极氧化物层上方形成虚设栅极层,随后由所述栅极层替代所述虚设栅极层。
14.根据权利要求12所述的方法,还包括:
在所述第一凹部和所述第二凹部内设置层间电介质(ILD)。
15.根据权利要求12所述的方法,还包括:
分别在所述第一凹部和所述第二凹部内形成第一源极/漏极(S/D)触点和第二源极/漏极(S/D)触点,其中,将所述第一S/D触点和所述第二S/D触点中的每一个分别至少部分地嵌入到所述第一凹部和所述第二凹部内的所述S/D注入物中。
16.一种集成电路,所述集成电路由根据权利要求12至15中任一项所述的方法形成。
17.一种片上系统(SoC),所述片上系统(SoC)包括根据权利要求16所述的集成电路。
18.一种嵌入式段,所述嵌入式段包括根据权利要求16所述的集成电路。
19.一种便携式计算装置,所述便携式计算装置包括根据权利要求16所述的集成电路。
20.根据权利要求19所述的便携式计算装置,其中,所述便携式计算装置包括膝上型计算机、上网本、笔记本计算机、超级移动个人计算机、智能电话、移动电话、平板计算机、个人数字助理(PDA)、便携式音乐播放器(PMP)和/或数字相机的至少其中之一。
21.一种晶体管,包括:
半导体衬底,所述半导体衬底具有形成在所述半导体衬底的表面中的第一凹部和第二凹部,所述凹部延伸到所述半导体衬底中;
栅极本体,所述栅极本体形成在所述半导体衬底的所述表面上方,并且相邻于所述第一凹部和所述第二凹部且位于所述第一凹部与所述第二凹部之间;
栅极氧化物层,所述栅极氧化物层被设置在所述栅极本体与所述半导体衬底之间,并且相邻于所述第一凹部和所述第二凹部且位于所述第一凹部与所述第二凹部之间;
第一间隔体和第二间隔体,所述第一间隔体和所述第二间隔体被设置为沿着所述栅极本体的相对应的第一侧壁和第二侧壁且分别延伸到所述第一凹部和所述第二凹部中;以及
第一源极/漏极(S/D)架构和第二源极/漏极(S/D)架构,所述第一源极/漏极(S/D)架构和所述第二源极/漏极(S/D)架构被形成为相邻于所述栅极本体,其中,所述第一S/D架构和所述第二S/D架构被分别至少部分地设置在所述第一凹部和所述第二凹部内。
22.根据权利要求21所述的晶体管,其中,所述第一S/D架构和所述第二S/D架构中的每一个S/D架构都包括:
S/D注入物;
层间电介质(ILD),所述层间电介质(ILD)被设置在所述S/D注入物上方;以及
S/D触点,所述S/D触点至少部分地嵌入在所述S/D注入物中并至少部分地设置在所述ILD内。
23.根据权利要求21所述的晶体管,其中,所述S/D注入物包含硅(Si)、硅锗(SiGe)和/或碳化硅(SiC)的至少其中之一。
24.根据权利要求21所述的晶体管,其中,所述第一凹部和所述第二凹部延伸到所述半导体衬底中达在约1-300nm的范围内的距离。
25.根据权利要求21至24中任一项所述的晶体管,其中,所述晶体管被配置为基于鳍状物的场效应晶体管(finFET),所述半导体衬底包括所述finFET的鳍状物,并且在其中形成有所述第一凹部和所述第二凹部的所述表面包括所述鳍状物的顶部部分,所述第一凹部和所述第二凹部延伸到所述鳍状物中。
CN201380074021.1A 2013-03-29 2013-03-29 具有延伸凹陷的间隔体和源极/漏极区域的晶体管架构及其制造方法 Pending CN105027291A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2013/034705 WO2014158198A1 (en) 2013-03-29 2013-03-29 Transistor architecture having extended recessed spacer and source/drain regions and method of making same

Publications (1)

Publication Number Publication Date
CN105027291A true CN105027291A (zh) 2015-11-04

Family

ID=51619961

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380074021.1A Pending CN105027291A (zh) 2013-03-29 2013-03-29 具有延伸凹陷的间隔体和源极/漏极区域的晶体管架构及其制造方法

Country Status (8)

Country Link
US (1) US9786783B2 (zh)
JP (1) JP2016514905A (zh)
KR (1) KR102065523B1 (zh)
CN (1) CN105027291A (zh)
DE (1) DE112013006607T5 (zh)
GB (1) GB2527214B (zh)
TW (2) TWI600163B (zh)
WO (1) WO2014158198A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107104143A (zh) * 2016-02-19 2017-08-29 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
CN113629055A (zh) * 2020-07-22 2021-11-09 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN115004377A (zh) * 2022-05-06 2022-09-02 长江先进存储产业创新中心有限责任公司 具有带有升高源极/漏极的凹槽式沟道晶体管的存储器外围电路及其形成方法

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2530195B (en) * 2013-06-28 2018-12-12 Intel Corp Selective epitaxially grown III-V materials based devices
US9082698B1 (en) * 2014-03-07 2015-07-14 Globalfoundries Inc. Methods to improve FinFet semiconductor device behavior using co-implantation under the channel region
KR102376481B1 (ko) 2015-05-22 2022-03-21 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 제조방법
US10340348B2 (en) 2015-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing finFETs with self-align contacts
KR102548835B1 (ko) 2016-08-26 2023-06-30 인텔 코포레이션 집적 회로 디바이스 구조체들 및 양면 제조 기술들
US10014303B2 (en) 2016-08-26 2018-07-03 Globalfoundries Inc. Devices with contact-to-gate shorting through conductive paths between fins and fabrication methods
WO2019040071A1 (en) * 2017-08-24 2019-02-28 Intel Corporation FORMATION OF SHARED GRID PATTERNS AND VERTICAL STACK FINFET TRANSISTORS
US10157987B1 (en) * 2017-08-14 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-based strap cell structure
WO2019132863A1 (en) 2017-12-26 2019-07-04 Intel Corporation Stacked transistors with contact last
US11430814B2 (en) 2018-03-05 2022-08-30 Intel Corporation Metallization structures for stacked device connectivity and their methods of fabrication
US10629739B2 (en) 2018-07-18 2020-04-21 Globalfoundries Inc. Methods of forming spacers adjacent gate structures of a transistor device
US11688780B2 (en) 2019-03-22 2023-06-27 Intel Corporation Deep source and drain for transistor structures with back-side contact metallization
KR20210011834A (ko) 2019-07-23 2021-02-02 삼성전자주식회사 반도체 소자
KR20210043842A (ko) 2019-10-14 2021-04-22 삼성전자주식회사 반도체 장치
DE102020119859A1 (de) * 2020-04-29 2021-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. Bildung von hybrid-isolationsregionen durch aussparen und erneutes abscheiden
US11404323B2 (en) 2020-04-29 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Formation of hybrid isolation regions through recess and re-deposition
WO2024189714A1 (ja) * 2023-03-13 2024-09-19 株式会社日立ハイテク 半導体装置の製造方法及びプラズマ処理方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03156976A (ja) * 1989-11-15 1991-07-04 Nec Corp 半導体装置及びその製造方法
US20040063313A1 (en) * 2002-09-26 2004-04-01 Mitsubishi Denki Kabushiki Kaisha Semiconductor device manufacturing method
US20080048262A1 (en) * 2006-08-22 2008-02-28 Samsung Electronics Co., Ltd Fin field effect transistor and method of forming the same
CN101981662A (zh) * 2008-06-30 2011-02-23 英特尔公司 形成堆叠沟槽接触的方法及由此形成的结构
CN102386226A (zh) * 2010-08-31 2012-03-21 中国科学院微电子研究所 半导体结构及其制造方法
CN102656672A (zh) * 2009-12-23 2012-09-05 英特尔公司 具有自对准外延源和漏的多栅半导体器件

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6531347B1 (en) * 2000-02-08 2003-03-11 Advanced Micro Devices, Inc. Method of making recessed source drains to reduce fringing capacitance
US6870225B2 (en) * 2001-11-02 2005-03-22 International Business Machines Corporation Transistor structure with thick recessed source/drain structures and fabrication process of same
TW565906B (en) * 2002-10-21 2003-12-11 Nanya Technology Corp A trench type split gate flash memory and the method to fabricate the same
KR100577562B1 (ko) 2004-02-05 2006-05-08 삼성전자주식회사 핀 트랜지스터 형성방법 및 그에 따른 구조
KR100526889B1 (ko) * 2004-02-10 2005-11-09 삼성전자주식회사 핀 트랜지스터 구조
US20050274994A1 (en) * 2004-06-14 2005-12-15 Rhodes Howard E High dielectric constant spacer for imagers
KR100574497B1 (ko) * 2004-12-24 2006-04-27 주식회사 하이닉스반도체 비대칭 리세스된 게이트를 갖는 mosfet 및 그 제조방법
US7488650B2 (en) 2005-02-18 2009-02-10 Infineon Technologies Ag Method of forming trench-gate electrode for FinFET device
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
KR100624758B1 (ko) * 2005-03-02 2006-10-30 차도균 온수개폐밸브용 카트리지
KR100683867B1 (ko) * 2006-02-09 2007-02-15 삼성전자주식회사 반도체 소자 및 그 형성 방법
KR20080029660A (ko) 2006-09-29 2008-04-03 주식회사 하이닉스반도체 반도체 소자 및 그의 제조 방법
US8450165B2 (en) * 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
JP2009302317A (ja) * 2008-06-13 2009-12-24 Renesas Technology Corp 半導体装置およびその製造方法
DE102008059500B4 (de) 2008-11-28 2010-08-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Mehr-Gatetransistors mit homogen silizidierten Stegendbereichen
KR101074217B1 (ko) 2009-03-12 2011-10-14 주식회사 하이닉스반도체 리세스드 소스 및 드레인 구조를 이용한 플로팅 바디 셀과 그 제조 방법
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
KR20110049090A (ko) 2009-11-04 2011-05-12 주식회사 하이닉스반도체 반도체 소자의 제조 방법
TWI419324B (zh) 2009-11-27 2013-12-11 Univ Nat Chiao Tung 具有三五族通道及四族源汲極之半導體裝置及其製造方法
US8518758B2 (en) * 2010-03-18 2013-08-27 Globalfoundries Inc. ETSOI with reduced extension resistance
US8633522B2 (en) * 2010-08-31 2014-01-21 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor structure and method for fabricating the same
US8466027B2 (en) * 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US20130244006A1 (en) * 2012-03-14 2013-09-19 Fabien Ebnoether Optimal sandwich core structures and forming tools for the mass production of sandwich structures
US9190486B2 (en) * 2012-11-20 2015-11-17 Globalfoundries Inc. Integrated circuits and methods for fabricating integrated circuits with reduced parasitic capacitance
US8841711B1 (en) * 2013-03-12 2014-09-23 Globalfoundries Inc. Methods of increasing space for contact elements by using a sacrificial liner and the resulting device

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03156976A (ja) * 1989-11-15 1991-07-04 Nec Corp 半導体装置及びその製造方法
US20040063313A1 (en) * 2002-09-26 2004-04-01 Mitsubishi Denki Kabushiki Kaisha Semiconductor device manufacturing method
US20080048262A1 (en) * 2006-08-22 2008-02-28 Samsung Electronics Co., Ltd Fin field effect transistor and method of forming the same
CN101981662A (zh) * 2008-06-30 2011-02-23 英特尔公司 形成堆叠沟槽接触的方法及由此形成的结构
CN102656672A (zh) * 2009-12-23 2012-09-05 英特尔公司 具有自对准外延源和漏的多栅半导体器件
CN102386226A (zh) * 2010-08-31 2012-03-21 中国科学院微电子研究所 半导体结构及其制造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107104143A (zh) * 2016-02-19 2017-08-29 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
CN107104143B (zh) * 2016-02-19 2020-05-29 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
CN113629055A (zh) * 2020-07-22 2021-11-09 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN115004377A (zh) * 2022-05-06 2022-09-02 长江先进存储产业创新中心有限责任公司 具有带有升高源极/漏极的凹槽式沟道晶体管的存储器外围电路及其形成方法

Also Published As

Publication number Publication date
US20140291737A1 (en) 2014-10-02
DE112013006607T5 (de) 2015-10-29
GB2527214A (en) 2015-12-16
JP2016514905A (ja) 2016-05-23
TWI600163B (zh) 2017-09-21
US9786783B2 (en) 2017-10-10
KR20150138166A (ko) 2015-12-09
GB201513898D0 (en) 2015-09-23
GB2527214B (en) 2020-06-17
TW201642474A (zh) 2016-12-01
TWI556444B (zh) 2016-11-01
WO2014158198A1 (en) 2014-10-02
TW201507157A (zh) 2015-02-16
KR102065523B1 (ko) 2020-01-13

Similar Documents

Publication Publication Date Title
CN105027291A (zh) 具有延伸凹陷的间隔体和源极/漏极区域的晶体管架构及其制造方法
TWI680584B (zh) 具有頸部半導體本體的半導體裝置及形成具有變化寬度的半導體本體的方法
US11764260B2 (en) Dielectric and isolation lower fin material for fin-based electronics
US10896963B2 (en) Semiconductor device contacts with increased contact area
US20200295190A1 (en) High-voltage transistor with self-aligned isolation
CN104380443A (zh) 用于mos器件制作的自对准3-d外延结构
KR20230144659A (ko) 단일 다이 상에 다수의 트랜지스터 핀 치수들을 얻기 위한 기술들
TWI725126B (zh) 在矽(111)上與矽pmos共整合之氮化鎵nmos
US10847656B2 (en) Fabrication of non-planar IGZO devices for improved electrostatics
US11777029B2 (en) Vertical transistors for ultra-dense logic and memory applications
US20180013000A1 (en) Apparatus and methods of forming fin structures with asymmetric profile
WO2014209285A1 (en) Cmos-compatible polycide fuse structure and method of fabricating same
TWI828018B (zh) 多高度半導體裝置及其製造方法
US10930791B2 (en) Systems, methods, and apparatuses for implementing bi-layer semiconducting oxides in source and drain for low access and contact resistance of thin film transistors
US11329132B2 (en) Transistor with polarization layer superlattice for target threshold voltage tuning
TW201733037A (zh) 可堆疊式切換裝置
US20220199544A1 (en) Cap structure for interconnect dielectrics and methods of fabrication
CN108369948B (zh) 用于改进的静电学的非平面igzo器件的制造

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication

Application publication date: 20151104

RJ01 Rejection of invention patent application after publication