CN104685610B - 使用低温蚀刻剂沉积与等离子体后处理的方向性二氧化硅蚀刻 - Google Patents

使用低温蚀刻剂沉积与等离子体后处理的方向性二氧化硅蚀刻 Download PDF

Info

Publication number
CN104685610B
CN104685610B CN201380050387.5A CN201380050387A CN104685610B CN 104685610 B CN104685610 B CN 104685610B CN 201380050387 A CN201380050387 A CN 201380050387A CN 104685610 B CN104685610 B CN 104685610B
Authority
CN
China
Prior art keywords
substrate
temperature
exposed
plasma
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201380050387.5A
Other languages
English (en)
Other versions
CN104685610A (zh
Inventor
戴维·T·奥
约书亚·柯林斯
张梅
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN104685610A publication Critical patent/CN104685610A/zh
Application granted granted Critical
Publication of CN104685610B publication Critical patent/CN104685610B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • H01L21/02049Dry cleaning only with gaseous HF
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本文描述用于处理基板的方法。所述方法可包括将含硅基板定位于处理腔室中,在偏压基板的同时将等离子体递送至基板的表面,将基板的表面暴露于氟化铵(NH4F),及将基板退火至第一温度以升华一或多种挥发性副产物。

Description

使用低温蚀刻剂沉积与等离子体后处理的方向性二氧化硅 蚀刻
发明背景
发明领域
本文所描述的技术涉及原生氧化物的方向性蚀刻。更具体地说,本文所描述的技术涉及氧化物表面的后处理,以有选择地蚀刻氧化物表面。
相关技术的描述
随着晶体管密度的增加及随后的装置节点横截面尺寸的减少(所述尺寸可小于22nm),原生氧化物的预清洁尤其重要。预清洁可包括预接触清洁或预硅化物清洁,预硅化物清洁要求从使横截面尺寸变窄的通孔或沟槽的底部移除氧化物。随着半导体装置的临界尺寸减少,在半导体基板上形成的邻近特征之间的距离亦缩短。因此,在预清洁期间控制通孔与沟槽之间的蚀刻以防止损害邻近特征很重要。
当前的预清洁技术大体包括在硅化物或其它接触沉积之前,保形蚀刻基板以移除原生氧化物,诸如SiO2。然而,标准的保形蚀刻可导致通孔及沟槽的横截面过度增大,因此可能形成泄漏且最终导致装置故障。其它诸如溅射蚀刻的预清洁技术从沟槽或接触底表面移除原生氧化物。然而,溅射工艺亦可导致场氧化物在通孔或沟槽开口处的再沉积。再沉积的氧化物在通孔及沟槽开口处形成悬垂物导致随后的不良接触填充。
因此,需要优先蚀刻特征的底表面以防止在预清洁期间损坏特征的方法。
发明内容
本文所描述的实施例一般而言涉及原生氧化硅的选择性蚀刻。在一个实施例中,一种方法可包括将含硅基板定位于处理腔室中;在偏压基板的同时将等离子体递送至基板的表面;及将基板的表面暴露于氟化铵(NH4F)。
在另一实施例中,一种方法可包括将含硅基板定位于处理腔室中;在偏压基板的同时将等离子体递送至基板的表面;及将基板的表面暴露于氟化铵(NH4F)或氟化氢铵(NH4F(HF))。
在另一实施例中,一种方法可包括以下步骤:将含硅基板定位于处理腔室中,含硅基板可包括暴露表面及在所述暴露表面上形成的原生氧化物层,所述暴露表面具有在暴露表面中形成的一或多个特征;将基板冷却至第一温度;在第一温度下将基板的表面暴露于氟化铵(NH4F);偏压基板;将基板暴露于低能惰性等离子体,以有选择地在所述特征的顶表面及底表面上形成一或多种挥发性产物;在第二温度下将基板暴露于低压,以从基板的表面升华未反应的NH4F;及加热基板至高于第一及第二温度的第三温度,以升华一或多种挥发性产物。
在另一实施例中,一种方法可包括以下步骤:将含硅基板定位于处理腔室中,含硅基板包含暴露表面及在暴露表面上形成的原生氧化物层,所述暴露表面具有在暴露表面中形成的一或多个特征;在第一压力下将基板冷却至第一温度;在第一温度下将基板的表面暴露于氟化铵(NH4F);偏压基板;将基板暴露于直接等离子体,以有选择地在所述特征的顶表面及底表面上形成一或多种挥发性产物;在第二温度下将基板暴露于第二压力,以从基板的表面升华未反应NH4F;及加热基板至高于第一温度的第三温度,以升华一或多种挥发性产物。
附图简单说明
因此,以可详细理解上文所述的本发明的特征的方式,以上简短总结的本发明的更详细的描述可参阅实施例而获得,所述实施例中的一部分实施例在附图中图示。
然而,应注意,附图仅图示本发明的典型实施例,且因此不应视为限制本发明的范围,因为本发明可承认其它同等有效的实施例。
图1为结合本文所描述的实施例使用的说明性多腔室处理系统的俯视示意图。
图2为根据一个实施例的用于方向性蚀刻的方法的图。
图3A至图3D为根据一个实施例蚀刻基板的图解表示。
图4图示根据一个实施例的氧化硅及氮化硅随着基座温度而变化的蚀刻速度。
在可能情况下相同元件符号用于指定图中共有的相同元件以便于理解。应预期到,一个实施例中所公开的元件可有益地用于其它实施例而无需赘述。
具体描述
本文描述了移除原生氧化物的方法。通孔及沟槽中表面的预清洁可导致侧壁的蚀刻及随后横截面尺寸的缩小。此横截面尺寸的缩小可能导致装置故障。本文所描述的实施例在保留通孔或沟槽的横截面尺寸的同时,允许表面的蚀刻,以从通孔及沟槽的底部移除原生氧化物。通过在低温下用蚀刻剂处理、偏压基板及通过等离子体处理活化所述蚀刻剂,沟槽及通孔底表面上的蚀刻剂将优先于侧壁表面上被蚀刻。
在低温下保形暴露于蚀刻剂之后,通过使用方向性后处理原生氧化物表面,由于退火前蚀刻剂的脱附,未活化表面经公称蚀刻的同时,受影响的表面上的蚀刻剂被活化以用于蚀刻。本文参考以下图更充分地说明本发明。
图1为说明性多腔室处理系统200的俯视示意图,多腔室处理系统200可经调适以执行如本文所公开的工艺,系统200具有耦接于系统200上的一或多个处理腔室,诸如处理腔室100。系统200可包括一或多个负载锁腔室202、204,负载锁腔室202、204用于移送基板进入系统200或将基板移出系统200。通常,因为系统200处于真空下,负载锁腔室202、204可对引入系统200的基板“抽空降压”。第一机器人210可在负载锁腔室202、204与第一组的一或多个基板处理腔室212、214、216及100(已图示四个腔室)之间移送基板。处理腔室100及216可为用于预加热及除去移入基板的湿气的除气腔室。处理腔室212及214可为预清洁腔室。用于执行预清洁工艺的处理腔室212及214相对于其它腔室的位置用于说明,且如有需要,则处理腔室212及214的位置可视需要与处理腔室212、214、216或100中的任一个交换。另外,若有需要,则所述腔室中的一或多个可定位于空位置248处。
第一机器人210亦可将基板移送至一或多个移送室222、224/将基板从一或多个移送室222、224移出。移送室222、224可用于在允许在系统200内部移送基板的同时维持超高真空条件。第二机器人230可在移送室222、224与第二组一或多个处理腔室232、234、236、238之间移送基板。与处理腔室212、214、216、100相类似,处理腔室232、234、236、238可经配备以执行多种基板处理操作。
处理腔室212或214可经配置以在基板上形成预接触层或金属硅化物层之前,将原生氧化物或其它污染物(诸如来自安置在基板上的特征的底部的原生氧化物)从基板的表面移除。处理腔室212或214可特定用于执行等离子体辅助干式蚀刻工艺(亦即“预清洁工艺”)。处理腔室212或214可为Preclean PCII、PCXT或购自加利福尼亚州圣克拉拉市应用材料公司的蚀刻腔室。应注意,购自其它制造商的其它腔室亦可用以实行本发明。
在处理腔室212或214中执行预清洁工艺后,可将基板进一步移送至安置在系统200中的处理腔室100、212、214、216、232、234、236、238中的任一腔室,诸如SiConi腔室或购自加利福尼亚州圣克拉拉市应用材料公司的蚀刻腔室,以执行所述工艺的第二步骤。
图2为根据一个实施例的用于方向性蚀刻的方法的图。方法250可包括如252中所述将具有原生氧化物层的基板定位于处理腔室中。处理腔室可为如参考图1所描述的处理腔室,或可为不同的处理腔室。处理腔室应至少能够维持基板在一特定温度,偏压基板且形成NH4F(如在等离子体中远程形成NH4F)。基板可为硅基板,所述硅基板表面上形成有特征。所述特征可包括具有诸如小于27nm的可变横截面尺寸的一或多个通孔及沟槽。基板可具有表面污染物,所述表面污染物形成于至少一个表面上。诸如原生氧化物的表面污染物可在所述基板的一或多个表面上形成,诸如二氧化硅形成于全部暴露表面上。表面污染物的厚度亦可依形成环境变化,诸如暴露于大气。其它层或特征可在氧化硅下形成,诸如氮化硅层或金属硅化物层。
方法250可进一步包括如254中所述冷却基板至第一温度。NH4F蚀刻为在处理腔室内部执行的,使用氨(NH3)及三氟化氮(NF3)的气体混合物以移除一或多种氧化硅的干式蚀刻工艺。大体将所述基板冷却至允许NH4F沉积但低于反应温度的一温度。此温度将视诸如处理腔室压力的工艺条件而定。在一或多个实施例中,可使用在支撑构件内部形成的冷却设备将基板冷却至低于15℃的温度,诸如在15℃与0℃之间。在一个实施例中,将基板维持在10℃下。在另一实施例中,将基板维持在10℃与0℃之间的温度下。
方法250可进一步包括如256中所述形成氟化铵(NH4F)或氟化氢铵(NH4F(HF))以沉积蚀刻剂。NH4F蚀刻为在处理腔室内部执行的,使用氨(NH3)及三氟化氮(NH3)的气体混合物以移除一或多种氧化硅的干式蚀刻工艺。可将基板冷却至低于NH4F或NH4F(HF)的反应温度的温度。
方法250可进一步包括如258中所述将基板暴露于引入腔室的NH4F或NH4F(HF)以移除基板表面上的表面污染物。在一个实施例中,氨及三氟化氮气体随后被引入等离子体腔室以形成蚀刻气体混合物。引入等离子体腔室的每种气体量可变且可调节以适应,例如,待移除的氧化层的厚度、正被清洁的基板的几何形状、等离子体的体积容量、腔室的体积容量以及耦接至所述腔室的真空系统的容量。可预定蚀刻气体混合物的比例以移除基板表面上的多种氧化物。蚀刻气体混合物中气体混合物的比例可经调节,以优先移除后处理氧化物,诸如在所述特征的顶表面及底表面上形成的原生氧化物。在一个实施例中,蚀刻气体混合物中氨和三氟化氮的摩尔比可经设定以均匀地移除氧化硅。
在一个实施例中,在将氨及三氟化氮的摩尔比维持在预定值之上的同时,可通过调整三氟化氮的流动速率调整蚀刻气体的蚀刻速度。在一个实施例中,在氨及三氟化氮的比例维持在超过约3:1的同时,通过提高或降低三氟化氮的流动速率可提高或减慢蚀刻速度。在另一实施例中,氨及三氟化氮的比例可约为1:1。
氨及三氟化氮气体可在远程等离子体腔室中被解离为反应性物质。解离物质可在气相化合形成高反应性氟化铵(NH4F)化合物及/或氟化氢铵(NH4F·HF)。这些分子与待处理的基板表面反应。在一个实施例中,首先将载气引入所述腔室,由此生成载气的等离子体,且随后将氨及三氟化氮的反应性气体加入等离子体。
一般认为,在不受理论约束的情况下,蚀刻剂气体NH4F及/或NH4F·HF与氧化硅表面反应以形成产物六氟硅酸铵(NH4)2SiF6、NH3及水。NH3及H2O在处理条件下为蒸汽,且可由真空泵将NH3及H2O从腔室中移除。(NH4)2SiF6的薄膜可留在基板表面上。此反应机制可概述如下:
NF3+3NH3→NH4F+NH4F·HF+N2
6NH4F+SiO2+热→(NH4)2SiF6+2H2O+4NH3
(NH4)2SiF6+热→2NH3+2HF+SiF4
如上所示的反应要求形成(NH4)2SiF6及升华(NH4)2SiF6为NH3、HF及SiF4两者的温度。通过冷却基板低于活化,将NH4F呈现至表面上但与氧化硅仅极少地(nominally)反应。
方法250可进一步包括如260中所述在偏压基板的同时,使用低能直接等离子体处理基板。直接等离子体可包含任何惰性气体。惰性气体包括诸如氦气或氩气的稀有气体。直接等离子体形成为充分低能的等离子体,以致不可溅射基板。换言之,直接等离子体为主要电离物质,以便所述方向性至少在某种程度上为随机的。直接等离子体可在等离子体腔室远程形成且被递送至所述腔室,或在所述腔室本身的内部形成。
直接等离子体可朝向同时进行偏压的基板流动。基板上的偏压可为不会促进溅射的任何功率水平,诸如在25W与250W之间。偏压可在不同频率递送,诸如从200kHz至60MHz的偏压,具体实例包括350kHz、13.56MHz、60MHz或以上各频率的组合。如上文所公开,直接等离子体为大部分电离的低能等离子体,因此在未施加其它力时,直接等离子体具有大约随机定向运动。施加于基板的偏压吸引等离子体中的离子化气体朝向基板,其中离子化气体撞击垂直于离子化气体运动方向的表面,诸如基板上的通孔或沟槽的底部。离子化气体提供活化能以用于由如先前安置于表面上的NH4F及存在于原生氧化硅层中的SiO2形成(NH4)2SiF6
方法250可进一步包括如262中所述在维持第一温度的同时将基板暴露于一低压。在NH4F与SiO2反应后,主要位于通孔及沟槽侧壁上的未反应NH4F可在一低温下低压升华。低压可包括允许未反应NH4F脱附的任何压力,诸如20mTorr的压力。低压允许未反应NH4F的脱附。另外,通过保持低温,未反应NH4F将不会与剩余的SiO2反应以在非所要的区域上(诸如在沟槽及通孔的侧壁上)形成(NH4)2SiF6。未反应前驱物随后从腔室中移除,以致不影响进一步处理。
方法250可进一步包括如264中所述通过将基板加热至第二温度以升华挥发性副产物来移除(NH4)2SiF6。在基板表面上形成薄膜后,可将支撑构件提升至非常接近加热气体分配板的退火位置。自气体分配板辐射的热可将(NH4)2SiF6的薄膜解离或升华为挥发性的SiF4、NH3及HF产物。随后通过如上所述的真空泵将这些挥发性产物从腔室移除。通常使用75℃或更高的温度以有效升华且自基板移除薄膜。较佳地,使用100℃或更高的温度,诸如在约115℃与约200℃之间的温度。
方法250可进一步包括如266中所述使惰性气体流动以将挥发性副产物从腔室中排出。用于将(NH4)2SiF6薄膜解离为挥发性组分的热能由气体分配板经由对流或辐射传递。在一个方面中,将分配板加热至100℃与150℃之间的温度,诸如约120℃。其它实施例使用低能等离子体(诸如,如参考所述后处理工艺所述的等离子体),以增强挥发性副产物的升华。等离子体被均匀地递送至基板的表面,且所述等离子体的能级不会溅射形成基板的氧化物。一般认为,在加热所述基板的同时,通过使用低能等离子体可减少用于升华的活化能。举例而言,(NH4)2SiF6层可具有特定的厚度,此厚度的(NH4)2SiF6层要求温度达120℃并经过特定的时段才可升华。通过使用低能等离子体,(NH4)2SiF6层可在同一时段100℃温度下升华或在较短时段120℃温度下升华。
所述方法可进一步包括如268中所述确定底表面是否已达到所要的厚度。若尚未达成所要的蚀刻速度,则可将基板冷却至第一温度,且重新开始所述工艺。基于选择性与方向性,可将所述工艺重复数次,诸如将所述工艺重复10次,以达成所要的结果。另外,尽管在各周期中重复一般步骤,各个步骤可为任何所公开的实施例,而无需考虑在所述步骤中所选择的前述实施例。举例而言,若在第一周期中递送至具有100W偏压的基板的为氦等离子体,则第二周期可为递送至具有50W偏压的基板的氩等离子体。
如270中所述,若已达成所要的蚀刻速度,则可结束所述工艺。净化且排空处理腔室。随后通过降低基板构件至移送位置,松开基板,且将通过狭缝阀开口移送基板,将处理基板从腔室中移除。
在不受理论约束的情况下,一般认为化学蚀刻速度在低温下实际为零,因为NH4F蚀刻剂沉积但不形成(NH4)2SiF6。在标准NH4F的蚀刻工艺期间,基板将维持在低于40℃的温度下,诸如在25℃与40℃之间的温度。在此温度范围之间,NH4F与基板上的氧化层之间的反应被认为是反应受限,使得较高水平的反应物将导致氧化层的增加及均匀蚀刻。当温度升高低于反应温度时,沉积速度不再反映(NH4)2SiF6的形成。因此,氧化硅区域具有存在反应物,但不具有制造所述产物所要求的能量。然而,由于由惰性等离子体提供的方向性能量,已使用惰性等离子体后处理的区域形成(NH4)2SiF6。因而,温度低于15℃时,氧化硅在惰性等离子体的非目的地区域(例如通孔及沟槽的侧壁)中未被蚀刻,且所述氧化硅于目的地区域中(例如在基板的上表面及沟槽的底部)被蚀刻。
应着重注意,相对于可安置于基板上的其它层,蚀刻工艺对于氧化硅的蚀刻进一步具有选择性。NH4F蚀刻剂将蚀刻氧化硅实质上不蚀刻诸如氮化硅或金属硅化物层。实验性地判定SiO2及SiN之间的选择率大于7:1,且在一些实例中两者之间的选择率大于9:1。SiO2与Si的选择率至少大于5:1。因此,上述方法为原生氧化物的蚀刻提供选择性及方向性两者。
图3A至图3D为根据一或多个实施例蚀刻基板300的图解表示。图3A图示根据一个实施例具有原生氧化物层303的基板300。基板300可为含硅基板,诸如晶态硅基板。基板300具有上表面302。上表面302具有形成于所述上表面302上的表面污染物,诸如原生氧化物层303。在一个实施例中,原生氧化物层为形成于含硅基板上的氧化硅层。原生氧化物层303可为在腔室之间移送的结果(亦即,暴露至大气中)。基板300可进一步具有形成于基板300中的通孔及沟槽,诸如通孔308。原生氧化物层303可沉积于特征的侧壁表面306及特征的底表面304上。如上所述,基板300可定位于处理腔室中。
图3B图示根据一个实施例在使用NH4F蚀刻剂低温处理期间307的基板。尽管为清楚的目的所描述的为NH4F蚀刻剂,但应理解,蚀刻剂包括在等离子体中由氨及三氟化氮气体所形成的所有组分,诸如,如参考图2所描述的NH4F(HF)。NH4F蚀刻剂307可保形地沉积于原生氧化物表面303上。在低于15℃的温度(诸如10℃)下执行此步骤时,NH4F蚀刻剂将不会与原生氧化物层303反应形成(NH4)2SiF6。在一个实施例中,NH4F蚀刻剂307应存在于基板的表面,以在尽可能短的时间内防止自发形成(NH4)2SiF6
图3C图示根据一个实施例在等离子体处理期间的基板300。如以上参考实施例所描述,使用低能直接等离子体310处理基板300。等离子体310可活化顶表面及顶表面304上的NH4F蚀刻剂307以形成蚀刻剂层312。蚀刻剂层包含从等离子体活化而来的(NH4)2SiF6,以及未反应NH4F蚀刻剂两者。应将基板维持在低于15℃的温度下,诸如10℃,以防止侧壁上的NH4F蚀刻剂307的活性。沉积在侧壁表面306上的NH4F蚀刻剂307很大程度不受等离子体310影响。基板300内的偏压为等离子体310提供方向性,以防止等离子体310移向侧壁表面306。输送至基板的偏压可在25W与200W之间。
图3D图示根据一个实施例使用NH4F蚀刻剂蚀刻后的基板300。(NH4)2SiF6薄膜312在顶表面及底表面304上形成及自顶表面及底表面304形成后,在维持温度低于15℃或较佳地低于10℃的同时,剩余的NH4F蚀刻剂307由低压从表面脱附。其它实施例可包括改变压力或温度,以使NH4F蚀刻剂307从侧壁表面306的脱附最佳化。在另一实施例中,将温度增加至高于NH4F蚀刻剂307的露点温度,诸如超过70℃。以此方式,在防止基板300的侧壁表面306上的NH4F蚀刻剂307的吸附的同时增加脱附速度。
然后,基板经退火以升华(NH4)2SiF6薄膜,因此暴露清洁表面314。将基板300加热至第二温度,诸如高于约75℃的温度。在一个实施例中,第二温度可为高于约100℃。侧壁表面306的厚度及组分实质上未改变。
图4图示根据一个实施例的氧化硅及氮化硅随基座温度而变化的蚀刻速度。蚀刻速度经测量且如图中所示以氧化蚀刻速度埃/秒对摄氏温度绘出。与氧化硅相比,所示的氮化硅在任何温度下无实质蚀刻。在15℃与30℃之间的温度下,在有NH4F参与的情况下,氧化硅蚀刻成线性增加。从30℃至约70℃,氧化硅表面减少,直到氧化硅的蚀刻速度达到氮化硅的蚀刻速度。应相信,在30℃以上,氧化硅蚀刻速度的减慢与在(NH4)2SiF6形成之前基板表面的NH4F的脱附的增加有关。在高于70℃的温度下,未处理表面上的蚀刻速度实质上低于先前温度中未处理表面的蚀刻速度或低于已处理表面的蚀刻速度。一般认为,在此温度或高于此温度,吸附速度等于脱附速度。因此,最少量的(NH4)2SiF6形成于氧化硅上。因此,通过将沉积的NH4F的温度维持在低于15℃或高于70℃,氧化硅上在有NH4F的情况下(NH4)2SiF6的形成可经控制以用于方向性蚀刻。
结论
本文所描述的实施例涉及从表面方向性移除原生氧化物的方法。以上实施例显示后处理表面比未处理表面优先蚀刻。SiO2原生地形成于硅表面上,且为通孔及沟槽中的适当沉积必需移除SiO2。尽管如此,重要的是避免现代通孔及沟槽的横截面尺寸改变,所述改变可导致装置故障。NH4F在低温下的沉积将在(NH4)2SiF6的有限形成的情况下有效地在氧化硅上保形沉积NH4F。随后,通过使用低能惰性等离子体偏压基板,(NH4)2SiF6层将优先于侧壁表面形成在底部及顶部水平面上。随后的退火将在不影响通孔或沟槽侧壁上的未处理的SiO2的情况下有效地用于移除等离子体处理SiO2
尽管上述内容针对本发明的实施例,在不脱离本发明的基本范围的情况下,可设计本发明的其它及另外的实施例,且本发明的范围由以下权利要求书确定。

Claims (15)

1.一种用于处理基板的方法,所述方法包含以下步骤:
将含硅基板定位于处理腔室中;
将基板冷却至15℃或低于15℃的温度;
在所述基板冷却至15℃或低于15℃的温度下,将所述基板的表面暴露于NH4F或NH4F(HF);以及
在偏压所述基板的同时将等离子体递送至所述基板的表面,所述等离子体主要被离子化。
2.如权利要求1所述的方法,所述方法进一步包含以下步骤:在将所述基板的所述表面暴露于NH4F的同时,将所述基板的所述表面冷却至15℃或低于15℃的温度。
3.如权利要求1所述的方法,其中将递送所述等离子体的步骤及暴露于NH4F的步骤重复多次,然后所述基板被提交退火。
4.如权利要求1所述的方法,所述方法进一步包含以下步骤:在递送所述等离子体的同时,将所述基板维持在15℃或低于15℃的温度。
5.如权利要求1所述的方法,所述方法进一步包含以下步骤:在暴露于NH4F或NH4F(HF)以及递送所述等离子体之后,将所述基板退火至100℃以上的温度。
6.如权利要求1所述的方法,其中所述NH4F或所述NH4F(HF)由远程等离子体形成。
7.如权利要求1所述的方法,其中所述NH4F或所述NH4F(HF)使用气体混合物形成,所述气体混合物分别包含以流动速率为3:1比例的氨(NH3)及三氟化氮(NF3)。
8.一种用于处理基板的方法,所述方法包含以下步骤:
将含硅基板定位于处理腔室中,所述含硅基板包含:
暴露表面,具有在所述暴露表面中形成的一或多个特征;以及
原生氧化物层,所述原生氧化物层形成在所述暴露表面上;
在第一压力下将所述基板冷却至第一温度;所述第一温度低于氟化铵(NH4F)或氟化氢铵(NH4F(HF))的反应温度;
在所述第一温度下,将所述基板的所述表面暴露于氟化铵(NH4F);
偏压所述基板;
将所述基板暴露于等离子体中,以有选择地在所述特征的顶表面和底表面上形成一或多种挥发性产物;
在第二温度下将所述基板暴露于第二压力,以从所述基板的所述表面升华未反应的所述氟化铵(NH4F);以及
加热所述基板至第三温度,所述第三温度高于所述第一温度,以升华所述一或多种挥发性产物。
9.如权利要求8所述的方法,其中所述第一温度低于15℃。
10.如权利要求8所述的方法,其中所述第二温度高于70℃。
11.如权利要求8所述的方法,其中所述第三温度为高于100℃的温度。
12.如权利要求8所述的方法,所述方法进一步包含以下步骤:在将所述基板暴露于等离子体中的同时将所述基板暴露于低压及低温,其中所述低压为20mTorr的压力,且所述低温为低于15℃。
13.如权利要求8所述的方法,其中所述氟化铵(NH4F)是由包含氨(NH3)及三氟化氮(NF3)的气体混合物形成。
14.如权利要求13所述的方法,其中所述气体混合物是由氨(NH3)及三氟化氮(NF3)分别以流动速率为3:1比例混合而成。
15.如权利要求8所述的方法,其中所述第二压力低于所述第一压力。
CN201380050387.5A 2012-10-03 2013-09-17 使用低温蚀刻剂沉积与等离子体后处理的方向性二氧化硅蚀刻 Active CN104685610B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261744909P 2012-10-03 2012-10-03
US61/744,909 2012-10-03
US201361874807P 2013-09-06 2013-09-06
US61/874,807 2013-09-06
PCT/US2013/060198 WO2014055236A1 (en) 2012-10-03 2013-09-17 Directional sio2 etch using low-temperature etchant deposition and plasma post-treatment

Publications (2)

Publication Number Publication Date
CN104685610A CN104685610A (zh) 2015-06-03
CN104685610B true CN104685610B (zh) 2018-08-14

Family

ID=50435323

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380050387.5A Active CN104685610B (zh) 2012-10-03 2013-09-17 使用低温蚀刻剂沉积与等离子体后处理的方向性二氧化硅蚀刻

Country Status (5)

Country Link
US (1) US9202745B2 (zh)
KR (1) KR102234458B1 (zh)
CN (1) CN104685610B (zh)
TW (1) TWI591712B (zh)
WO (1) WO2014055236A1 (zh)

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
TWI604528B (zh) 2012-10-02 2017-11-01 應用材料股份有限公司 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9543208B2 (en) * 2014-02-24 2017-01-10 Infineon Technologies Ag Method of singulating semiconductor devices using isolation trenches
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
WO2018052475A1 (en) * 2016-09-16 2018-03-22 Applied Materials, Inc. Integrated system and method for source/drain engineering
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
CN109216383A (zh) * 2017-07-07 2019-01-15 联华电子股份有限公司 半导体存储装置的形成方法
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) * 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7336873B2 (ja) * 2018-11-30 2023-09-01 東京エレクトロン株式会社 基板処理方法
CN111261514A (zh) * 2018-11-30 2020-06-09 东京毅力科创株式会社 基片处理方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6057247A (en) * 1997-10-29 2000-05-02 Matsushita Electronics Corporation Method for fabricating semiconductor device and method for controlling environment inside reaction chamber of dry etching apparatus
CN101916740A (zh) * 2004-02-26 2010-12-15 应用材料有限公司 用于前段工艺制造的原地干洗腔

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6187151B1 (en) * 1997-01-02 2001-02-13 Micron Technology, Inc. Method of in-situ cleaning and deposition of device structures in a high density plasma environment
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
KR20060133606A (ko) * 2005-06-21 2006-12-27 삼성전자주식회사 콘택홀 세정방법 및 이를 이용한 반도체 소자의 제조방법
JP2008088529A (ja) * 2006-10-04 2008-04-17 Ulvac Japan Ltd 膜形成方法および膜形成装置
US8252194B2 (en) * 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
KR101566922B1 (ko) * 2009-02-16 2015-11-09 삼성전자주식회사 저스트 드라이 에칭과 케미컬 드라이 에칭을 조합한 반도체소자의 금속 실리사이드막 형성 방법
US8980761B2 (en) * 2012-10-03 2015-03-17 Applied Materials, Inc. Directional SIO2 etch using low-temperature etchant deposition and plasma post-treatment

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6057247A (en) * 1997-10-29 2000-05-02 Matsushita Electronics Corporation Method for fabricating semiconductor device and method for controlling environment inside reaction chamber of dry etching apparatus
CN101916740A (zh) * 2004-02-26 2010-12-15 应用材料有限公司 用于前段工艺制造的原地干洗腔

Also Published As

Publication number Publication date
US9202745B2 (en) 2015-12-01
TWI591712B (zh) 2017-07-11
KR102234458B1 (ko) 2021-03-30
TW201417167A (zh) 2014-05-01
KR20150064181A (ko) 2015-06-10
US20140363979A1 (en) 2014-12-11
CN104685610A (zh) 2015-06-03
WO2014055236A1 (en) 2014-04-10

Similar Documents

Publication Publication Date Title
CN104685610B (zh) 使用低温蚀刻剂沉积与等离子体后处理的方向性二氧化硅蚀刻
CN104813450B (zh) 使用等离子体预处理和高温蚀刻剂沉积的方向性二氧化硅蚀刻
JP7414891B2 (ja) 半導体基板を処理するための装置および方法
KR102627546B1 (ko) 이방성 텅스텐 에칭을 위한 방법 및 장치
US9177780B2 (en) Directional SiO2 etch using plasma pre-treatment and high-temperature etchant deposition
JP6440716B2 (ja) 周期的エッチング工程を用いたエッチング停止層のエッチング方法
TWI715650B (zh) 基板處理設備及方法
CN105762072A (zh) 使用no活化的用于硅氧化物的各向同性原子层蚀刻
KR100656214B1 (ko) 플라즈마 처리 방법
US8980761B2 (en) Directional SIO2 etch using low-temperature etchant deposition and plasma post-treatment
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
CN107919298B (zh) 气相刻蚀装置及设备
CN112635317A (zh) 蚀刻方法、损伤层的去除方法和存储介质
WO2020066172A1 (ja) エッチング方法、エッチング残渣の除去方法、および記憶媒体
CN113785382B (zh) 蚀刻方法
KR20190063940A (ko) 고 선택적 실리콘 산화물 제거를 위한 건식 세정 장치 및 방법
US20130095665A1 (en) Systems and methods for processing substrates
US20240186147A1 (en) Surface treatment method, dry etching method, cleaning method, semiconductor device manufacturing method, and etching device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant