KR102234458B1 - 저온 에천트 증착 및 플라즈마 사후-처리를 이용한 방향성 SiO₂에칭 - Google Patents

저온 에천트 증착 및 플라즈마 사후-처리를 이용한 방향성 SiO₂에칭 Download PDF

Info

Publication number
KR102234458B1
KR102234458B1 KR1020157011509A KR20157011509A KR102234458B1 KR 102234458 B1 KR102234458 B1 KR 102234458B1 KR 1020157011509 A KR1020157011509 A KR 1020157011509A KR 20157011509 A KR20157011509 A KR 20157011509A KR 102234458 B1 KR102234458 B1 KR 102234458B1
Authority
KR
South Korea
Prior art keywords
substrate
temperature
way
plasma
exposed surface
Prior art date
Application number
KR1020157011509A
Other languages
English (en)
Other versions
KR20150064181A (ko
Inventor
데이비드 티. 오알
조슈하 콜린스
메이 창
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150064181A publication Critical patent/KR20150064181A/ko
Application granted granted Critical
Publication of KR102234458B1 publication Critical patent/KR102234458B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • H01L21/02049Dry cleaning only with gaseous HF
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

기판을 프로세싱 하기 위한 방법들이 본원에서 설명된다. 방법들은, 실리콘을 포함하는 기판을 프로세싱 챔버 내에 배치하는 단계, 기판을 바이어싱 하면서, 기판의 표면에 플라즈마를 전달하는 단계, 기판의 표면을 불화 암모늄(NH4F)에 노출시키는 단계, 및 하나 또는 그 초과의 휘발성 부산물들을 승화시키기 위해서 기판을 제 1 온도로 어닐링하는 단계를 포함할 수 있다.

Description

저온 에천트 증착 및 플라즈마 사후-처리를 이용한 방향성 SiO₂에칭{DIRECTIONAL SIO2 ETCH USING LOW-TEMPERATURE ETCHANT DEPOSITION AND PLASMA POST-TREATMENT}
[0001] 본원에서 설명되는 기술은 자연 산화물(native oxide)들의 방향성 에칭(directional etching)에 관한 것이다. 구체적으로, 본원에서 설명되는 기술은, 산화물 표면들을 선택적으로 에칭하기 위한, 산화물 표면의 사후-처리(post-treatment)에 관한 것이다.
[0002] 트랜지스터 밀도의 증가, 및 그 이후의, 22nm 미만일 수 있는, 디바이스 노드들의 단면 치수(cross-sectional dimension)들의 감소로 인해, 자연 산화물들의 사전-세정(pre-clean)이 특히 중요하다. 사전-세정은, 좁은(narrowing) 단면 치수들의 트렌치들 또는 비아들의 바닥으로부터의 산화물들의 제거를 필요로 하는, 컨택-전 세정(pre-contact clean) 또는 실리사이드-전 세정(pre-silicide clean)을 포함할 수 있다. 반도체 디바이스들의 임계 치수가 감소함에 따라, 반도체 기판 상에 형성되는 이웃 피쳐(neighboring feature)들 간의 거리들이 또한 짧아진다. 따라서, 근처의 피쳐들을 손상시키는 것을 막기 위해서는, 사전 세정 동안 비아들 및 트렌치들 사이의 에칭을 제어하는 것이 중요하다.
[0003] 현재의 사전 세정 기술들은 일반적으로, 실리사이드들 또는 다른 컨택들을 증착하기 전에 SiO2와 같은 자연 산화물들을 제거하기 위해 기판을 등각적으로 에칭하는 것(conformal etch)을 포함한다. 하지만, 표준의 등각적 에칭은, 비아들 및 트렌치들의 과도한 단면 확장(cross-sectional enlargement)을 이끌 수 있으며, 그에 따라, 가능한 누설(leakage) 및 궁극적인 디바이스 고장(device failure)을 생성할 수 있다. 스퍼터 에칭과 같은 다른 사전 세정 기술들이, 트렌치 또는 컨택 바닥 표면(bottom surface)들로부터 자연 산화물들을 제거한다. 하지만, 스퍼터링 프로세스는 또한, 비아 또는 트렌치 개구에서의 필드 산화물들의 재증착을 이끌 수 있다. 재증착되는 산화물들은, 이후의 불충분한(poor) 컨택 충전(contact fill)으로 이어지는 돌출부(overhang)를 비아들 및 트렌치들의 개구들에 생성한다.
[0004] 따라서, 사전 세정 동안 피쳐들에 대한 손상을 막기 위해 피쳐들의 바닥 표면들로부터 우선적으로(preferentially) 에칭하기 위한 방법들이 필요하다.
[0005] 본원에서 설명되는 실시예들은 일반적으로, 자연 실리콘 산화물들의 선택적 에칭에 관한 것이다. 일 실시예에서, 방법은, 실리콘을 포함하는 기판을 프로세싱 챔버 내에 배치하는(positioning) 단계; 기판을 바이어싱하면서, 기판의 표면에 플라즈마를 전달하는 단계; 및 불화 암모늄(NH4F)에 기판의 표면을 노출시키는 단계를 포함할 수 있다.
[0006] 다른 실시예에서, 방법은, 실리콘을 포함하는 기판을 프로세싱 챔버 내에 배치하는 단계; 기판을 바이어싱하면서, 기판의 표면에 플라즈마를 전달하는 단계; 및 불화 암모늄(NH4F) 또는 불화수소 암모늄(NH4F(HF))에 기판의 표면을 노출시키는 단계를 포함할 수 있다.
[0007] 다른 실시예에서, 방법은, 프로세싱 챔버 내에 실리콘-함유 기판을 배치하는 단계 ― 실리콘-함유 기판은, 노출된 표면 ― 노출된 표면은, 노출된 표면 내에 형성된 하나 또는 그 초과의 피쳐들을 구비함 ― ; 및 노출된 표면 상에 형성된 자연 산화물 층을 포함할 수 있음 ― ; 기판을 제 1 온도로 냉각하는 단계; 제 1 온도에서, 기판의 표면을 불화 암모늄(NH4F)에 노출시키는 단계; 기판을 바이어싱하는 단계; 피쳐들의 상부 및 바닥 표면들 상에 하나 또는 그 초과의 휘발성 생성물들(products)을 선택적으로 형성하기 위해, 기판을 저 에너지 불활성 플라즈마에 노출시키는 단계; 반응하지 않은(non-reacted) NH4F를 기판의 표면으로부터 승화시키기 위해, 기판을 제 2 온도에서 저압에 노출시키는 단계; 및 하나 또는 그 초과의 휘발성 생성물들을 승화시키기 위해, 기판을 제 1 및 제 2 온도보다 더 높은 제 3 온도로 가열하는 단계를 포함할 수 있다.
[0008] 다른 실시예에서, 방법은, 프로세싱 챔버 내에 실리콘-함유 기판을 배치하는 단계 ― 실리콘-함유 기판은, 노출된 표면, ― 노출된 표면은, 노출된 표면 내에 형성된 하나 또는 그 초과의 피쳐들을 구비함 ― ; 및 노출된 표면 상에 형성된 자연 산화물 층을 포함함 ― ; 기판을 제 1 압력에서 제 1 온도로 냉각하는 단계; 제 1 온도에서, 기판의 표면을 불화 암모늄(NH4F)에 노출시키는 단계; 기판을 바이어싱하는 단계; 피쳐들의 상부 및 바닥 표면들 상에 하나 또는 그 초과의 휘발성 생성물들을 선택적으로 형성하기 위해, 기판을 다이렉트 플라즈마(direct plasma)에 노출시키는 단계; 반응하지 않은(non-reacted) NH4F를 기판의 표면으로부터 승화시키기 위해, 기판을 제 2 온도에서 제 2 압력에 노출시키는 단계; 및 하나 또는 그 초과의 휘발성 생성물들을 승화시키기 위해, 기판을 제 1 온도보다 더 높은 제 3 온도로 가열하는 단계를 포함할 수 있다.
[0009] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다.
[0010] 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0011] 도 1은 본원에서 설명되는 실시예들과 함께 이용가능한 예시적인 다중-챔버(multi-chamber) 프로세싱 시스템의 개략적인 상면도(top-view diagram)이다.
[0012] 도 2는 일 실시예에 따른, 방향성 에칭을 위한 방법의 다이어그램이다.
[0013] 도 3a-3d는 일 실시예에 따라 에칭된 기판의 그래픽 표현들(graphical representations)이다.
[0014] 도 4는 일 실시예에 따른, 페디스털 온도에 따른 실리콘 산화물 및 실리콘 질화물의 에칭 레이트를 도시한다.
[0015] 이해를 용이하게 하기 위하여, 가능하면, 도면들에 공통되는 동일한 요소들을 나타내는데 동일한 참조번호들이 사용되었다. 일 실시예에 개시된 요소들이 구체적인 언급 없이 다른 실시예들에서 유리하게 사용될 수 있는 것으로 고려된다.
[0016] 본원에서, 자연 산화물들을 제거하기 위한 방법들이 설명된다. 비아들 및 트렌치들에서의 표면들의 사전 세정은, 측벽들의 에칭, 및 그 이후의, 단면 치수들의 감소로 이어질 수 있다. 단면 치수들의 이러한 감소는 디바이스 고장으로 이어질 수 있다. 본원에서 설명되는 실시예들은, 비아 또는 트렌치의 단면 치수들을 보존하면서(preserving), 비아들 및 트렌치들의 바닥들로부터 자연 산화물들을 제거하기 위한, 표면들의 에칭을 허용한다. 저온들에서의 에천트(etchant)를 이용한 처리, 기판의 바이어싱, 및 플라즈마 처리에 의한 에천트의 활성화에 의해서, 비아들 및 트렌치들의 바닥 표면들 상의 에천트는 측벽 표면들에 대해 우선적으로 에칭될 것이다.
[0017] 저온들에서의 에천트에 대한 등각적 노출(conformal exposure) 이후에 자연 산화물 표면의 방향성 사후-처리(directional post-treatmet)를 채용함으로써, 어닐링 이전의 에천트의 탈착(desorption)으로 인해, 비-활성화된 표면이 명목상으로(nominally) 에칭되는 동안, 영향을 받는 표면 상의 에천트는 에칭을 위해 활성화 된다. 본 발명은 하기에서 도면들과 관련하여 보다 충분하게 설명된다.
[0018] 도 1은 예시적인 다중-챔버 프로세싱 시스템(200)의 개략적인 상면도이며, 다중-챔버 프로세싱 시스템(200)은, 이를 테면 프로세싱 챔버(100)와 같은, 다중-챔버 프로세싱 시스템(200)에 커플링된 하나 또는 그 초과의 프로세싱 챔버들을 가지며, 본원에서 개시되는 바와 같은 프로세스들을 수행하도록 적응될 수 있다. 시스템(200)은, 시스템(200) 내외로 기판들을 이송하기 위한 하나 또는 그 초과의 로드 록 챔버들(202, 204)을 포함할 수 있다. 전형적으로, 시스템(200)은 진공 하에 있기 때문에, 로드 록 챔버들(202, 204)은 시스템(200) 내로 도입되는 기판들을 "펌핑 다운(pump down)"시킬 수 있다. 제 1 로봇(210)은, 로드 록 챔버들(202, 204)과 제 1 세트의 하나 또는 그 초과의 기판 프로세싱 챔버들(212, 214, 216, 100)(4개가 도시됨) 사이에서 기판들을 이송할 수 있다. 프로세싱 챔버들(100 및 216)은, 유입되는(incoming) 기판들을 사전-가열(pre-heat)하고 그리고 그러한 기판들로부터 수분을 몰아내는(drive) 데에 이용되는 탈가스 챔버(degas chamber)들일 수 있다. 프로세싱 챔버들(212 및 214)은 사전 세정 챔버(preclean chamber)들일 수 있다. 다른 챔버들에 대한, 사전 세정 프로세스를 수행하는 데에 이용되는 프로세싱 챔버(212 및 214)의 위치들은 예시를 위한 것이며, 그리고 요구되는 경우, 프로세싱 챔버(212 및 214)의 위치는, 선택적으로, 프로세싱 챔버들(212, 214, 216 또는 100) 중 임의의 프로세싱 챔버와 스위칭될 수 있다. 또한, 요구되는 경우, 챔버들 중 하나 또는 그 초과의 챔버는 빈 위치(empty position)(248)에 배치될 수 있다.
[0019] 제 1 로봇(210)은 또한, 하나 또는 그 초과의 이송 챔버들(222, 224)로/로부터 기판들을 이송할 수 있다. 이송 챔버들(222, 224)은, 기판들이 시스템(200) 내에서 이송되도록 허용하면서, 초고 진공 상태(ultrahigh vacuum condition)들을 유지하기 위해 이용될 수 있다. 제 2 로봇(230)이, 이송 챔버들(222, 224)과 제 2 세트의 하나 또는 그 초과의 프로세싱 챔버들(232, 234, 236, 238) 사이에서 기판들을 이송할 수 있다. 프로세싱 챔버들(212, 214, 216, 100)과 유사하게, 프로세싱 챔버들(232, 234, 236, 238)은 다양한 기판 프로세싱 동작들을 수행하도록 갖춰질(outfitted) 수 있다.
[0020] 프로세싱 챔버(212 또는 214)는, 기판 상에 사전-컨택 층(pre-contact layer) 또는 금속 실리사이드 층을 형성하기 전에 기판 표면으로부터 자연 산화물들 또는 다른 오염물질들을 제거하도록, 이를 테면, 기판 상에 배치된 피쳐들의 바닥들로부터 자연 산화물들을 제거하도록 구성될 수 있다. 프로세싱 챔버(212 또는 214)는, 플라즈마 보조형(assisted) 건식 에칭 프로세스(즉, "사전 세정 프로세스")를 수행하는 데에 특히 유용할 수 있다. 프로세싱 챔버(212 또는 214)는, 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.로부터 입수가능한 Preclean PCII, PCXT 또는 에칭 챔버들일 수 있다. 다른 제조사들로부터 입수가능한 다른 챔버들이 또한, 본 발명을 실시하는 데에 이용될 수 있음이 주목된다.
[0021] 프로세싱 챔버(212 또는 214)에서 사전 세정 프로세스가 수행된 후, 기판은, 프로세스의 제 2 단계를 수행하기 위해, 이를 테면, 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.로부터의 SiConi 챔버 또는 에칭 챔버와 같은, 시스템(200) 내에 배치된 프로세싱 챔버들(100, 212, 214, 216, 232, 234, 236, 238) 중 임의의 챔버에 추가적으로 이송될 수 있다.
[0022] 도 2는 일 실시예에 따른, 방향성 에칭을 위한 방법의 다이어그램이다. 방법(250)은, 252에서와 같이, 자연 산화물 층을 갖는 기판을 프로세싱 챔버 내에 배치하는 단계를 포함할 수 있다. 프로세싱 챔버는 도 1과 관련하여 설명된 바와 같은 프로세싱 챔버일 수 있거나, 또는 상이한 프로세싱 챔버일 수 있다. 프로세싱 챔버는, 적어도, 기판을 특정 온도로 유지하고, 기판을 바이어싱하고, 그리고 NH4F를 형성(예를 들어, 플라즈마에서 NH4F를 원격으로 생성)할 수 있어야 한다. 기판은, 표면 상에 피쳐들이 형성되어 있는 실리콘 기판일 수 있다. 피쳐들은, 27nm 미만과 같은, 가변(varying) 단면 치수들의 하나 또는 그 초과의 비아들 및 트렌치들을 포함할 수 있다. 기판은 적어도 하나의 표면 상에 형성되어 있는 표면 오염물질을 가질 수 있다. 노출된 모든 표면들 상에 형성된 실리콘 이산화물과 같이, 자연 산화물들과 같은 표면 오염물질이 기판의 하나 또는 그 초과의 표면들 상에 형성될 수 있다. 표면 오염물질들은 또한, 대기에 대한 노출과 같은 형성 환경들에 의존하는 가변 두께로 이루어질 수 있다. 금속 실리사이드들 또는 실리콘 질화물의 층과 같은 다른 층들 또는 피쳐들이 실리콘 산화물 아래에 형성될 수 있다.
[0023] 방법(250)은, 254에서와 같이, 기판을 제 1 온도로 냉각하는 단계를 더 포함할 수 있다. NH4F 에칭은, 암모니아(NH3) 및 삼불화질소(NF3) 가스 혼합물을 사용하여 하나 또는 그 초과의 실리콘 산화물들을 제거하기 위해 프로세싱 챔버 내에서 수행되는 건식 에칭 프로세스이다. 기판은 일반적으로, NH4F의 증착을 허용하지만 반응 온도보다는 아래인 온도로 냉각된다. 이러한 온도는 프로세싱 챔버 압력과 같은 프로세스 조건들에 의존할 것이다. 하나 또는 그 초과의 실시예들에서, 기판은, 지지 부재 내에 형성된 냉각 장치를 사용하여, 15℃ 미만, 이를 테면 15℃ 내지 0℃의 온도로 냉각될 수 있다. 일 실시예에서, 기판은 10℃에서 유지된다. 다른 실시예에서, 기판은 10℃ 내지 0℃의 온도에서 유지된다.
[0024] 방법(250)은, 256에서와 같이, 에천트를 증착하기 위해 불화 암모늄(NH4F) 또는 불화수소 암모늄(NH4F(HF))을 형성하는 단계를 더 포함할 수 있다. NH4F 에칭은, 암모니아(NH3) 및 삼불화질소(NF3) 가스 혼합물을 사용하여 하나 또는 그 초과의 실리콘 산화물들을 제거하기 위해 프로세싱 챔버 내에서 수행되는 건식 에칭 프로세스이다. 기판은 NH4F 또는 NH4F(HF)의 반응 온도 미만의 온도로 냉각될 수 있다.
[0025] 방법(250)은, 258에서와 같이, 기판의 표면 상의 표면 오염물질들을 제거하기 위해 챔버에 도입되는 NH4F 또는 NH4F(HF)에 기판을 노출시키는 단계를 더 포함할 수 있다. 일 실시예에서, 그런 다음에, 암모니아 및 삼불화 질소 가스들이 에칭 가스 혼합물을 형성하기 위해 플라즈마 챔버 내에 도입된다. 플라즈마 챔버 내로 도입되는 각각의 가스의 양은 가변적이며, 그리고 예를 들어, 제거될 산화물 층의 두께, 세정되는 기판의 기하형상, 플라즈마의 볼륨 용량(volume capacity), 챔버의 볼륨 용량 뿐 아니라, 챔버에 커플링된 진공 시스템의 성능들(capabilities)에 맞추도록(accommodate) 조정될 수 있다. 에칭 가스 혼합물의 비율은 기판 표면 상의 다양한 산화물들을 제거하기 위해 미리 결정될 수 있다. 에칭 가스 혼합물 내의 가스 혼합물의 비율은, 사후-처리된 산화물들, 이를 테면, 피쳐들의 상단 및 바닥 표면들 상에 형성된 자연 산화물들을 우선적으로 제거하도록 조정될 수 있다. 일 실시예에서, 에칭 가스 혼합물 내에서의 암모니아 대 삼불화질소의 몰비(molar ratio)는 실리콘 산화물들을 균일하게 제거하도록 설정될 수 있다.
[0026] 일 실시예에서, 에칭 가스 혼합물의 에칭 레이트는, 암모니아 및 삼불화질소의 몰비를 미리 결정된 값 초과로 유지하면서 삼불화질소의 유량을 조정함으로써, 조정될 수 있다. 일 실시예에서, 에칭 레이트는, 암모니아 및 삼불화질소의 비율을 약 3:1 초과로 유지하면서 삼불화질소의 유량을 증가 또는 감소시킴으로써, 증가 또는 감소될 수 있다. 다른 실시예에서, 암모니아 및 삼불화질소의 비율은 약 1:1 일 수 있다.
[0027] 암모니아 및 삼불화질소 가스들은 원격 플라즈마 챔버 내에서 반응성 종들(reactive species)로 해리될(dissociated) 수 있다. 해리된 종들이 결합하여, 고도의 반응성 불화 암모늄(NH4F) 화합물 및/또는 기체상(gas phase)의 불화수소 암모늄(ammonium hydrogen fluoride, NH4FㆍHF)을 형성할 수 있다. 이들 분자들이, 프로세싱될 기판 표면과 반응한다. 일 실시예에서, 캐리어 가스가 먼저 챔버 내로 도입되고, 캐리어 가스의 플라즈마가 생성된 다음, 반응성 가스들, 암모니아 및 삼불화질소가 플라즈마에 부가된다.
[0028] 이론에 의해 구속되기를 원하지 않으면서, 에천트 가스, 즉 NH4F 및/또는 NH4FㆍHF가 실리콘 산화물 표면과 반응하여, 암모늄 헥사플루오로실리케이트(ammonium hexafluorosilicate, (NH4)2SiF6), NH3, 및 H2O 생성물들을 형성하는 것으로 여겨진다. NH3, 및 H2O는 프로세싱 조건들에서 증기들이며, 진공 펌프에 의해 챔버로부터 제거된다. 기판 표면 상에는 (NH4)2SiF6의 얇은 필름이 남겨진다. 이러한 반응 메커니즘은 다음과 같이 요약될 수 있다:
NF3 + 3NH3 → NH4F + NH4FㆍHF + N2
6NH4F + SiO2 + 열(heat) → (NH4)2SiF6 + 2H2O + 4NH3
(NH4)2SiF6 + 열 → 2NH3 + 2HF + SiF4
[0029] 상기 나타낸 바와 같은 반응은, (NH4)2SiF6를 형성하고 그리고 또한 (NH4)2SiF6를 NH3, HF, SiF4로 승화시키기 위한 온도를 필요로 한다. 기판을 활성화 온도 미만의 온도로 냉각함으로써, NH4F는 표면 상에 존재하지만 명목상으로만 실리콘 산화물과 반응한다.
[0030] 방법(250)은, 260에서와 같이, 기판을 바이어싱하면서, 저 에너지 다이렉트 플라즈마로 기판을 처리하는 단계를 더 포함할 수 있다. 다이렉트 플라즈마는 임의의 불활성 가스를 포함할 수 있다. 불활성 가스들은, 헬륨 또는 아르곤과 같은 희가스들(noble gases)을 포함한다. 다이렉트 플라즈마는 기판을 스퍼터링하지 않기 위해서 충분하게 낮은 에너지의 플라즈마로 형성된다. 다른 방식으로 말해서, 다이렉트 플라즈마는, 방향성(directionality)이 적어도 어느 정도는 랜덤하도록, 주로 이온화된 종이다. 다이렉트 플라즈마는 플라즈마 챔버에서 원격으로 형성되고 챔버로 전달될 수 있거나, 또는 챔버 그 자체 내부에서 형성될 수 있다.
[0031] 다이렉트 플라즈마는, 동시에 바이어싱되는 기판을 향해 유동된다. 기판 상의 바이어스는, 스퍼터링을 촉진하지 않을 임의의 전력 레벨, 이를 테면 25W 내지 250W의 전력 레벨로 이루어질 수 있다. 바이어스는 가변 주파수들, 이를 테면 200kHz 내지 60MHz의 바이어스로 전달될 수 있고, 특정 예들은 350kHz, 13.56MHz, 60MHz 또는 이들의 조합들을 포함한다. 이전에 개시된 바와 같이, 다이렉트 플라즈마는 대부분 이온화된 저 에너지 플라즈마이고, 따라서, 다른 힘들이 인가되지 않을 때, 대략 랜덤한 방향성 운동을 갖는다. 기판에 인가된 바이어스는 기판을 향하는 플라즈마의 이온화된 가스를 끌어당기는데, 여기서, 이온화된 가스는, 이온화된 가스 운동의 방향에 수직인 표면들, 이를 테면 기판 상의 트렌치 또는 비아의 바닥을 타격한다. 이온화된 가스는, 자연 실리콘 산화물 층에 존재하는 바와 같은 SiO2 및 표면 상에 기존에 배치된 바와 같은 NH4F로부터의 (NH4)2SiF6의 형성을 위한 활성화 에너지를 제공한다.
[0032] 방법(250)은, 262에서와 같이, 제 1 온도를 유지하면서 저압에 기판을 노출시키는 단계를 더 포함할 수 있다. SiO2 및 NH4F가 반응한 이후, 트렌치들 및 비아들의 측벽들 상에 주로 있는 반응하지 않은 NH4F는 저온에서 저압 승화될 수 있다. 저압들은, 반응하지 않은 NH4F의 탈착을 허용할 임의의 압력들, 이를 테면 20mTorr의 압력을 포함할 수 있다. 저압은, 반응하지 않은 NH4F의 탈착을 허용한다. 또한, 온도를 낮게 유지하는 것에 의해서, 반응하지 않은 NH4F는, 원치 않는 지역들, 이를 테면 비아들 및 트렌치들의 측벽들 상에 (NH4)2SiF6를 생성하는, 남아있는 SiO2와 반응하지 않을 것이다. 그런 다음에, 반응하지 않은 전구체들은, 추가적인 프로세싱에 영향을 주지 않기 위해서, 챔버로부터 제거된다.
[0033] 방법(250)은, 264에서와 같이, 휘발성 부산물들을 승화시키기 위해 기판을 제 2 온도로 가열함으로써 (NH4)2SiF6를 제거하는 단계를 더 포함할 수 있다. 기판 표면 상에 얇은 필름이 형성된 후, 지지 부재는, 가열된 가스 분배 플레이트에 아주 근접하는 어닐 위치로 들어올려질 수 있다. 가스 분배 플레이트로부터 방출되는(radiated) 열(heat)이 (NH4)2SiF6의 얇은 필름을 휘발성 SiF4, NH3 및 HF 생성물들로 해리 또는 승화시킬 수 있다. 이들 휘발성 생성물들은 이후, 상기 설명된 바와 같이 진공 펌프에 의해 챔버로부터 제거된다. 전형적으로, 얇은 필름을 효과적으로 승화시켜서 기판으로부터 제거하기 위해, 75℃ 또는 그 초과의 온도가 이용된다. 바람직하게는, 100℃ 또는 그 초과, 이를 테면 약 115℃ 내지 약 250℃의 온도가 이용된다.
[0034] 방법(250)은, 266에서와 같이, 챔버로부터 휘발성 부산물들을 진공배기(evacuate)시키기 위해 불활성 가스를 유동시키는 단계를 더 포함할 수 있다. (NH4)2SiF6의 얇은 필름을 그 휘발 성분(volatile component)들로 해리시키기 위한 열 에너지는, 대류(convection) 또는 방사(radiation)를 통해 가스 분배 플레이트에 의해 전달된다. 일 양상에서, 분배 플레이트는 100℃ 내지 150℃, 이를 테면 약 120℃의 온도로 가열된다. 추가의 실시예들은, 휘발성 부산물들의 승화를 강화하기 위해, 저 에너지 플라즈마, 이를 테면, 사후-처리 프로세스와 관련하여 설명된 바와 같은 플라즈마를 이용한다. 플라즈마는, 기판으로부터의 산화물들을 스퍼링하지 않게 될 에너지 레벨로 균일하게 기판의 표면에 전달된다. 기판을 가열함과 동시에, 저 에너지 플라즈마를 이용함으로써, 승화를 위한 활성화 에너지가 감소될 수 있는 것으로 여겨진다. 예를 들어, (NH4)2SiF6의 층은, 승화시키기 위해 특정 시간 기간에 걸쳐서 120℃의 온도를 필요로 하는 특정 두께로 이루어질 수 있다. 저 에너지 플라즈마를 이용함으로써, (NH4)2SiF6의 층은, 동일한 시간 기간에 걸쳐서 100℃에서 승화될 수 있거나, 더 짧은 시간 기간에 걸쳐서 120℃에서 승화될 수 있다.
[0035] 방법은, 268에서와 같이, 바닥 표면의 원하는 두께가 도달되었는지 여부를 결정하는 단계를 더 포함할 수 있다. 원하는 에칭 레이트가 달성되지 않았다면, 기판은 제 1 온도로 냉각될 수 있고 프로세스는 다시 시작할 수 있다. 선택성 및 방향성에 기초하여, 프로세스는, 원하는 결과들을 달성하기 위해서, 프로세스를 10회 반복하는 것과 같이, 많은 횟수들이 반복될 수 있다. 또한, 각각의 사이클에서 개략적인(general) 단계들이 반복되더라도, 개별 단계들은, 그 단계에서 선택된 이전 실시예와 상관없이, 임의의 개시된 실시예일 수 있다. 예를 들어, 제 1 사이클에서 헬륨 플라즈마가 100W의 바이어스로 기판에 전달된 경우, 제 2 사이클은 50W 바이어스로 기판에 전달된 아르곤 플라즈마일 수 있다.
[0036] 원하는 에칭 레이트가 달성되었다면, 프로세스는, 270에서와 같이, 종료될 수 있다. 프로세싱 챔버는 퍼징되고 진공배기된다. 그런 다음에, 프로세싱된 기판은, 기판 부재를 이송 위치로 낮추고, 기판을 디척킹(de-chucking)하고, 슬릿 밸브 개구(slit valve opening)를 통해 기판을 이송함으로써, 챔버로부터 제거된다.
[0037] 이론에 의해 구속되기를 의도하지 않으면서, 낮은 온도들에서, 화학적 에칭 레이트는 사실상(practically) 제로(zero)인 것으로 여겨지는데, 왜냐하면 NH4F 에천트가 증착은 되지만, (NH4)2SiF6 은 형성하지 않기 때문이다. 표준 NH4F 에칭 프로세스 동안, 기판은 40℃ 미만의 온도, 이를 테면 25℃ 내지 40℃의 온도로 유지될 것이다. 이러한 온도 범위에서, 기판 상의 산화물 층과 NH4F 간의 반응은 제한된 반응(reaction limited)이 될 것으로 여겨지며, 이에 따라, 더 높은 레벨들의 반응물(reactant)이 산화물 층의 증가되고 균일한 에칭으로 이어질 것이다. 온도가 반응 온도 미만으로 상승되면, 증착 레이트는 (NH4F)2SiF6 의 형성을 더이상 반영하지(reflect) 않는다. 따라서, 실리콘 산화물의 지역들은 반응물들이 존재하지만, 생성물을 만들기 위해 요구되는 에너지를 갖지 않는다. 그러나, 불활성 플라즈마를 이용하여 사후-처리된 지역들은, 불활성 플라즈마에 의해 제공된 방향성 에너지에 기인하여, (NH4F)2SiF6 을 형성한다. 따라서, 15℃ 미만의 온도들에서, 실리콘 산화물은, 불활성 플라즈마에 의해 타겟팅되지 않은 지역들(예를 들어, 트렌치들 및 비아들의 측벽들)에서는 에칭되지 않으며, 타겟팅된 지역들(예를 들어, 트렌치들의 바닥들 및 기판의 상부 표면)에서는 에칭된다.
[0038] 주목해야 될 중요한 점은, 기판 상에 배치될 수 있는 다른 층들에 대한 실리콘 산화물의 에칭을 위해서 에칭 프로세스가 더 선택적이라는 점이다. NH4F 에천트는, 실리콘 질화물 또는 금속 실리사이드들과 같은 층들을 실질적으로 에칭하지 않으면서 실리콘 산화물을 에칭할 것이다. SiO2와 SiN 간의 실험적으로 결정된 선택비(selectivity)는 7:1 초과이며, 몇몇 예들에서는, 9:1 초과이다. SiO2 대 Si의 선택비는 적어도 5:1 초과이다. 따라서, 상기 방법은 자연 산화물들의 에칭에 있어서 선택비 및 방향성 모두를 제공한다.
[0039] 도 3a-3d는 하나 또는 그 초과의 실시예들에 따라 에칭되는 기판(300)의 그래픽 표현들이다. 도 3a는 일 실시예에 따른, 자연 산화물 층(303)을 갖는 기판(300)을 도시한다. 기판(300)은 결정 실리콘 기판과 같은 실리콘-함유 기판일 수 있다. 기판(300)은 상부 표면(302)을 갖는다. 상부 표면(302)은, 그 위에 형성된 자연 산화물 층(303)과 같은, 표면 오염물질을 갖는다. 일 실시예에서, 자연 산화물 층은 실리콘-함유 기판 상에 형성된 실리콘 산화물 층이다. 자연 산화물 층(303)은, 챔버들 간의 이송(즉, 대기에 대한 노출)의 결과일 수 있다. 기판(300)은 그 내부에 형성된 트렌치들 및 비아들, 이를 테면 비아(308)를 더 가질 수 있다. 자연 산화물 층(303)은 피쳐(feature)들의 측벽 표면들(306) 및 피쳐들의 바닥 표면들(304) 상에 증착될 수 있다. 기판(300)은 상기 설명된 바와 같이 프로세싱 챔버 내에 배치될 수 있다.
[0040] 도 3b는 일 실시예에 따른, NH4F 에천트(307)를 이용한 저온 처리 동안의 기판을 도시한다. 명료함을 위해서 NH4F 에천트로서 설명되었지만, 에천트가, 도 2와 관련하여 설명된 바와 같은 NH4F(HF)와 같이, 플라즈마에서의 암모니아 및 삼불화질소 가스들로부터 형성된 모든 컴포넌트들을 포함한다는 것이 이해된다. NH4F 에천트(307)는 자연 산화물 표면(303)에 등각적으로 증착될 수 있다. 이 단계가 15℃보다 낮은 온도들, 이를 테면 10℃에서 수행되는 경우, NH4F 에천트는 (NH4)2SiF6을 형성하도록 자연 산화물 층(303)과 반응하지 않을 것이다. 일 실시예에서, NH4F 에천트(307)는, (NH4)2SiF6의 자발적인 형성을 방지하기 위해서 가능한 짧은 시간 동안 기판의 표면 상에 존재해야 한다.
[0041] 도 3c는 일 실시예에 따른, 플라즈마 처리 동안의 기판(300)을 도시한다. 상기 실시예들과 관련하여 설명된 바와 같이, 기판(300)은 저 에너지 다이렉트 플라즈마(310)로 처리된다. 플라즈마(310)는, 에천트 층(312)을 형성하기 위해서, 상부 및 바닥 표면들(304) 상의 NH4F 에천트(307)를 활성화할 수 있다. 에천트 층은, 플라즈마를 이용한 활성화로부터의 (NH4)2SiF6 뿐만 아니라 미처리된 NH4F 에천트 둘 다를 포함한다. 기판은, 측벽들 상의 NH4F 에천트(307)의 활동을 방지하기 위해서, 15℃미만의 온도, 이를 테면 10℃에서 유지되어야 한다. 측벽 표면들(306) 상에 증착된 NH4F 에천트(307)는 대부분, 플라즈마(310)에 의해서 영향받지 않는다. 기판(300) 내의 바이어스는, 플라즈마(310)가 측벽 표면들(306)을 타겟팅하는 것을 방지하기 위해서, 플라즈마(310)에 대한 방향성을 제공한다. 기판에 전달되는 바이어스는 25W 내지 200W 일 수 있다.
[0042] 도 3d는 일 실시예에 따른, NH4F 에천트에 의해 에칭한 이후의 기판(300)을 도시한다. (NH4)2SiF6 필름(312)이 상부 및 바닥 표면들(304) 상에 그리고 그로부터 형성된 이후에, 남아있는 NH4F 에천트(307)는, 15℃ 미만 또는 바람직하게는 10℃ 미만의 온도로 유지되면서, 저압에 의해서 표면으로부터 탈착된다. 추가적인 실시예들은 측벽 표면(306)으로부터의 NH4F 에천트(307)의 탈착을 최적화하기 위해서 압력 또는 온도를 변화시키는 것을 포함할 수 있다. 다른 실시예에서, 온도는 NH4F 에천트(307)의 이슬점 초과의 온도, 이를 테면 70℃ 초과로 증가된다. 이러한 방식에서, 기판(300)의 측벽 표면들(306) 상의 NH4F 에천트(307)의 흡착(adsorption)이 방지되면서, 탈착 레이트는 증가된다.
[0043] 다음으로, 기판은 (NH4)2SiF6 필름을 승화시키기 위해 어닐링되고, 따라서, 세정된 표면들(314)을 노출시킨다. 기판(300)은 제 2 온도, 이를 테면 약 75℃ 초과의 온도로 가열된다. 일 실시예에서, 제 2 온도는 약 100℃ 초과일 수 있다. 측벽 표면들(306)의 두께 및 조성은 실질적으로 변화되지 않는다.
[0044] 도 4는 일 실시예에 따른, 페디스털 온도에 따른 실리콘 산화물 및 실리콘 질화물의 에칭 레이트를 도시한다. 에칭 레이트들이 측정되었으며, 온도(℃)에 대한 산화물 에칭 레이트(Å/sec)를 갖는 그래프로 도시된 바와 같이 플롯팅되었다(plotted). 실리콘 산화물과의 비교에서, 어떠한 온도에서도 실리콘 질화물은 실질적인 에칭이 없음을 보여주었다. 15℃ 내지 30℃의 온도들에서, NH4F의 존재 시, 실리콘 산화물 에칭은 선형으로 증가한다. 30℃ 에서 약 70℃까지, 실리콘 산화물 표면은, 실리콘 질화물의 에칭 레이트에 도달할 때까지 감소한다. 30℃ 이후의 실리콘 산화물에 대한 에칭 레이트의 감소는, (NH4)2SiF6의 형성 이전에 기판의 표면으로부터의 NH4F의 탈착의 증가와 관련된 것으로 여겨진다. 70℃ 보다 더 높은 온도들에서, 미처리된 표면 상에서의 에칭 레이트는, 처리된 표면 에칭 레이트 또는 이전 온도에서의 미처리된 표면 에칭 레이트보다 실질적으로 더 낮다. 이러한 온도 또는 그 초과의 온도에서, 흡착 레이트 및 탈착 레이트는 같은 것으로 여겨진다. 따라서, 실리콘 산화물 상에 최소량의 (NH4)2SiF6이 형성된다. 따라서, 증착된 NH4F의 온도를 15℃ 미만 또는 70℃ 초과로 유지함으로써, NH4F의 존재 시의 실리콘 산화물 상의 (NH4)2SiF6 의 형성은 방향성 에칭을 위해 제어될 수 있다.
[0045] 본원에서 설명되는 실시예들은 표면으로부터의 자연 산화물들의 방향성 제거 방법들에 관한 것이다. 상기 실시예들은, 미처리된 표면들에 대한, 사후-처리된 표면들의 우선적인 에칭을 나타낸다. SiO2는, 실리콘 표면들 상에 자연적으로 형성되는데, 비아들 및 트렌치들에서의 적절한 증착을 위해 제거되어야 한다. 하지만, 현대의(modern day) 비아들 및 트렌치들의 단면 치수들의 변경(이는 디바이스 고장으로 이어질 수 있다)을 막는 것이 중요하다. 저온에서의 NH4F의 증착은 실리콘 산화물 상의 (NH4)2SiF6 의 제한된 형성을 이용하여 등각적으로 NH4F를 증착하는데 효과적일 것이다. 후속하여, 바이어싱된 기판을 저 에너지 불활성 플라즈마를 이용하여 처리함으로써, (NH4)2SiF6 층은, 측벽 표면들에 비해, 바닥 및 상부 수평 표면들 상에 우선적으로 형성될 것이다. 후속 어닐링은, 트렌치들 또는 비아들의 측벽들 상의 미처리된 SiO2에 영향을 주지 않으면서, 플라즈마-처리된 SiO2를 제거하는 데에 효과적일 것이다.
[0046] 상기 내용이 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 및 추가의 실시예들이 본 발명의 기본적인 범위를 벗어나지 않으면서 안출될 수 있으며, 본 발명의 범위는 하기의 청구항들에 의해 결정된다.

Claims (17)

  1. 방법으로서,
    실리콘을 포함하는 기판을 프로세싱 챔버에 배치하는 단계;
    상기 기판을 불화 암모늄(NH4F) 또는 불화수소 암모늄(NH4F(HF))의 반응 온도 미만의 온도로 냉각하는 단계;
    상기 기판이 상기 반응 온도 미만의 온도로 냉각된 상태에서, 상기 기판의 표면을 NH4F 또는 NH4F(HF)에 노출시키는 단계; 및
    NH4F 또는 NH4F(HF)의 증착 이후, 상기 기판을 바이어싱하면서, 상기 기판의 표면에 저 에너지 불활성 플라즈마를 전달하는 단계
    를 포함하고,
    상기 플라즈마는 이온화되고, 바이어싱된 상기 기판을 향하여 끌어당겨지는,
    방법.
  2. 제 1 항에 있어서,
    상기 기판의 표면을 NH4F에 노출시키면서, 15℃ 또는 그 미만의 온도로 상기 기판의 표면을 냉각하는 단계를 더 포함하는,
    방법.
  3. 제 1 항에 있어서,
    상기 기판을 어닐링하기 이전에, 상기 플라즈마의 전달 및 NH4F로의 노출이 복수의 횟수만큼 반복되는,
    방법.
  4. 제 1 항에 있어서,
    상기 플라즈마를 전달하면서, 상기 기판을 15℃ 또는 그 미만의 온도들에서 유지하는 단계를 더 포함하는,
    방법.
  5. 제 1 항에 있어서,
    상기 기판을 100℃ 초과의 온도로 어닐링하는 단계를 더 포함하는,
    방법.
  6. 제 1 항에 있어서,
    NH4F 또는 NH4F(HF)가 원격 플라즈마에 의해서 형성되는
    방법.
  7. 제 1 항에 있어서,
    각각, 3:1 비율의 암모니아(NH3) 및 삼불화질소(NF3)를 포함하는 가스 혼합물을 사용하여 NH4F 또는 NH4F(HF)가 형성되는,
    방법.
  8. 방법으로서,
    프로세싱 챔버 내에 실리콘-함유 기판을 배치하는 단계 ― 상기 실리콘-함유 기판은,
    노출된 표면 ― 상기 노출된 표면은, 상기 노출된 표면 내에 형성된 하나 또는 그 초과의 피쳐들을 구비함 ―, 및
    상기 노출된 표면 상에 형성된 자연 산화물 층을 포함함 ―;
    상기 기판을 제 1 압력에서 제 1 온도로 냉각하는 단계 ― 상기 제 1 온도는 불화 암모늄(NH4F) 또는 불화수소 암모늄(NH4F(HF))의 반응 온도 미만임 ―;
    상기 제 1 온도에서, 상기 기판의 표면을 불화 암모늄(NH4F)에 노출시키는 단계;
    상기 기판을 바이어싱하는 단계;
    NH4F의 증착 이후, 상기 피쳐들의 상부 및 바닥 표면들 상에 하나 또는 그 초과의 휘발성 생성물들을 선택적으로 형성하기 위해, 상기 기판을 저 에너지 불활성 다이렉트 플라즈마에 노출시키는 단계 ― 상기 다이렉트 플라즈마는 이온화되고, 바이어싱된 상기 기판을 향하여 끌어당겨짐 ―;
    반응하지 않은(non-reacted) NH4F를 상기 기판의 표면으로부터 승화시키기 위해, 상기 기판을 제 2 온도에서 제 2 압력에 노출시키는 단계; 및
    상기 하나 또는 그 초과의 휘발성 생성물들을 승화시키기 위해, 상기 기판을 상기 제 1 온도보다 더 높은 제 3 온도로 가열하는 단계
    를 포함하는,
    방법.
  9. 제 8 항에 있어서,
    상기 제 1 온도는 15℃ 미만인,
    방법.
  10. 제 8 항에 있어서,
    상기 제 2 온도는 70℃ 초과인,
    방법.
  11. 제 8 항에 있어서,
    상기 제 3 온도는 100℃ 초과의 온도인,
    방법.
  12. 제 8 항에 있어서,
    저 에너지 원격 플라즈마를 전달하면서, 저압 및 저온들에 상기 기판을 노출시키는 단계를 더 포함하는,
    방법.
  13. 제 8 항에 있어서,
    암모니아(NH3) 및 삼불화질소(NF3)를 포함하는 가스 혼합물로부터 NH4F가 형성되는,
    방법.
  14. 제 13 항에 있어서,
    가스 혼합물은, 각각, 3:1 비율의 암모니아(NH3) 및 삼불화질소(NF3)인,
    방법.
  15. 제 8 항에 있어서,
    상기 제 2 압력은 상기 제 1 압력 미만인,
    방법.
  16. 제 1 항에 있어서,
    상기 기판은 노출된 표면을 가지고, 상기 노출된 표면은 상기 노출된 표면 내에 형성된 하나 또는 그 초과의 피쳐들을 구비하며, 그리고
    하나 또는 그 초과의 휘발성 생성물들을 승화시킨 후에, 자연 산화물 층이 상기 하나 또는 그 초과의 피쳐들의 상기 노출된 표면의 측벽 표면들을 제외하고 상기 노출된 표면의 모든 부분들로부터 제거되는,
    방법.
  17. 제 8 항에 있어서,
    상기 하나 또는 그 초과의 휘발성 생성물들을 승화시킨 후에, 상기 자연 산화물 층은 상기 하나 또는 그 초과의 피쳐들의 상기 노출된 표면의 측벽 표면들을 제외하고 상기 노출된 표면의 모든 부분들로부터 제거되는,
    방법.
KR1020157011509A 2012-10-03 2013-09-17 저온 에천트 증착 및 플라즈마 사후-처리를 이용한 방향성 SiO₂에칭 KR102234458B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261744909P 2012-10-03 2012-10-03
US61/744,909 2012-10-03
US201361874807P 2013-09-06 2013-09-06
US61/874,807 2013-09-06
PCT/US2013/060198 WO2014055236A1 (en) 2012-10-03 2013-09-17 Directional sio2 etch using low-temperature etchant deposition and plasma post-treatment

Publications (2)

Publication Number Publication Date
KR20150064181A KR20150064181A (ko) 2015-06-10
KR102234458B1 true KR102234458B1 (ko) 2021-03-30

Family

ID=50435323

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157011509A KR102234458B1 (ko) 2012-10-03 2013-09-17 저온 에천트 증착 및 플라즈마 사후-처리를 이용한 방향성 SiO₂에칭

Country Status (5)

Country Link
US (1) US9202745B2 (ko)
KR (1) KR102234458B1 (ko)
CN (1) CN104685610B (ko)
TW (1) TWI591712B (ko)
WO (1) WO2014055236A1 (ko)

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
TWI604528B (zh) 2012-10-02 2017-11-01 應用材料股份有限公司 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9543208B2 (en) * 2014-02-24 2017-01-10 Infineon Technologies Ag Method of singulating semiconductor devices using isolation trenches
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
WO2018052475A1 (en) * 2016-09-16 2018-03-22 Applied Materials, Inc. Integrated system and method for source/drain engineering
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
CN109216383A (zh) * 2017-07-07 2019-01-15 联华电子股份有限公司 半导体存储装置的形成方法
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) * 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11114304B2 (en) * 2018-11-30 2021-09-07 Tokyo Electron Limited Substrate processing method
JP7336873B2 (ja) * 2018-11-30 2023-09-01 東京エレクトロン株式会社 基板処理方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100440806B1 (ko) * 1997-10-29 2004-09-18 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의제조방법및드라이에칭장치의반응실환경제어방법
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6187151B1 (en) * 1997-01-02 2001-02-13 Micron Technology, Inc. Method of in-situ cleaning and deposition of device structures in a high density plasma environment
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
KR20060133606A (ko) * 2005-06-21 2006-12-27 삼성전자주식회사 콘택홀 세정방법 및 이를 이용한 반도체 소자의 제조방법
JP2008088529A (ja) * 2006-10-04 2008-04-17 Ulvac Japan Ltd 膜形成方法および膜形成装置
US8252194B2 (en) * 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
KR101566922B1 (ko) * 2009-02-16 2015-11-09 삼성전자주식회사 저스트 드라이 에칭과 케미컬 드라이 에칭을 조합한 반도체소자의 금속 실리사이드막 형성 방법
US8980761B2 (en) * 2012-10-03 2015-03-17 Applied Materials, Inc. Directional SIO2 etch using low-temperature etchant deposition and plasma post-treatment

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100440806B1 (ko) * 1997-10-29 2004-09-18 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의제조방법및드라이에칭장치의반응실환경제어방법
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry

Also Published As

Publication number Publication date
CN104685610A (zh) 2015-06-03
TWI591712B (zh) 2017-07-11
TW201417167A (zh) 2014-05-01
WO2014055236A1 (en) 2014-04-10
KR20150064181A (ko) 2015-06-10
US9202745B2 (en) 2015-12-01
US20140363979A1 (en) 2014-12-11
CN104685610B (zh) 2018-08-14

Similar Documents

Publication Publication Date Title
KR102234458B1 (ko) 저온 에천트 증착 및 플라즈마 사후-처리를 이용한 방향성 SiO₂에칭
KR102140955B1 (ko) 플라즈마 사전-처리 및 고온 에천트 증착을 이용한 방향성 SiO₂에칭
US9177780B2 (en) Directional SiO2 etch using plasma pre-treatment and high-temperature etchant deposition
US7994002B2 (en) Method and apparatus for trench and via profile modification
US10199215B2 (en) Apparatus and method for selective deposition
KR102629835B1 (ko) 기판 처리 장치
US8951913B2 (en) Method for removing native oxide and associated residue from a substrate
KR101974715B1 (ko) 산화막 제거 방법 및 제거 장치, 및 콘택 형성 방법 및 콘택 형성 시스템
KR100656214B1 (ko) 플라즈마 처리 방법
JP7109165B2 (ja) エッチング方法
US8980761B2 (en) Directional SIO2 etch using low-temperature etchant deposition and plasma post-treatment
JP2012519962A (ja) 界面汚染を低減した層を堆積させる方法
JP6601257B2 (ja) 基板処理方法
KR101725711B1 (ko) 에칭 방법 및 기록 매체
Or et al. Directional SiO 2 etch using plasma pre-treatment and high-temperature etchant deposition

Legal Events

Date Code Title Description
AMND Amendment
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant