KR102140955B1 - 플라즈마 사전-처리 및 고온 에천트 증착을 이용한 방향성 SiO₂에칭 - Google Patents

플라즈마 사전-처리 및 고온 에천트 증착을 이용한 방향성 SiO₂에칭 Download PDF

Info

Publication number
KR102140955B1
KR102140955B1 KR1020157010772A KR20157010772A KR102140955B1 KR 102140955 B1 KR102140955 B1 KR 102140955B1 KR 1020157010772 A KR1020157010772 A KR 1020157010772A KR 20157010772 A KR20157010772 A KR 20157010772A KR 102140955 B1 KR102140955 B1 KR 102140955B1
Authority
KR
South Korea
Prior art keywords
substrate
temperature
way
plasma
exposed surface
Prior art date
Application number
KR1020157010772A
Other languages
English (en)
Other versions
KR20150060920A (ko
Inventor
데이비드 티. 오알
조수아 콜린스
메이 창
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150060920A publication Critical patent/KR20150060920A/ko
Application granted granted Critical
Publication of KR102140955B1 publication Critical patent/KR102140955B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/326Application of electric currents or fields, e.g. for electroforming

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma & Fusion (AREA)

Abstract

기판을 프로세싱하기 위한 방법들이 본원에서 설명된다. 방법들은, 실리콘 산화물 층을 포함하는 노출된 표면을 갖는 기판을 프로세싱 챔버 내에 배치하는 단계; 기판을 바이어싱하는 단계; 실리콘 산화물 층의 일부를 러프닝(roughen)하도록 기판을 처리하는 단계; 기판을 제 1 온도로 가열하는 단계; 제 1 온도를 유지하면서 하나 또는 그 초과의 휘발성 생성물들을 형성하기 위해 상기 기판의 노출된 표면을 불화 암모늄에 노출시키는 단계; 및 휘발성 생성물들을 승화시키기 위해, 제 1 온도 보다 더 높은 제 2 온도로 기판을 가열하는 단계를 포함할 수 있다.

Description

플라즈마 사전-처리 및 고온 에천트 증착을 이용한 방향성 SiO₂에칭{DIRECTIONAL SIO₂ETCH USING PLASMA PRE-TREATMENT AND HIGH-TEMPERATURE ETCHANT DEPOSITION}
[0001] 본원에서 설명되는 기술은 자연 산화물(native oxide)들의 방향성 에칭(directional etching)에 관한 것이다. 구체적으로, 본원에서 설명되는 기술은, 산화물 표면들을 선택적으로 에칭하기 위한, 산화물 표면의 사전 처리(pretreatment)에 관한 것이다.
[0002] 트랜지스터 밀도의 증가, 및 그 이후의, 22nm 미만일 수 있는, 디바이스 노드들의 단면 치수(cross-sectional dimension)들의 감소로 인해, 자연 산화물들의 사전-세정(pre-clean)이 특히 중요하다. 사전-세정은, 좁은(narrowing) 단면 치수들의 트렌치들 또는 비아들의 바닥으로부터의 산화물들의 제거를 필요로 하는, 컨택-전 세정(pre-contact clean) 또는 실리사이드-전 세정(pre-silicide clean)을 포함할 수 있다. 반도체 디바이스들의 임계 치수가 감소함에 따라, 반도체 기판 상에 형성되는 이웃 피쳐(neighboring feature)들 간의 거리들이 또한 짧아진다. 따라서, 근처의 피쳐들을 손상시키는 것을 막기 위해서는, 사전 세정 동안 비아들 및 트렌치들 사이의 에칭을 제어하는 것이 중요하다.
[0003] 현재의 사전 세정 기술들은 일반적으로, 실리사이드들 또는 다른 컨택들을 증착하기 전에 SiO2와 같은 자연 산화물들을 제거하기 위해 기판을 등각적으로 에칭하는 것(conformal etch)을 포함한다. 하지만, 표준의 등각적 에칭은, 비아들 및 트렌치들의 과도한 단면 확장(cross-sectional enlargement)을 이끌 수 있으며, 그에 따라, 가능한 누설(leakage) 및 궁극적인 디바이스 고장(device failure)을 일으킬 수 있다. 스퍼터 에칭과 같은 다른 사전 세정 기술들이, 트렌치 또는 컨택 바닥 표면(bottom surface)들로부터 자연 산화물들을 제거한다. 하지만, 스퍼터링 프로세스는 또한, 비아 또는 트렌치 개구에서의 필드 산화물들의 재증착을 이끌 수 있다. 재증착되는 산화물들은, 비아들 및 트렌치들의 개구들에 돌출부(overhang)를 생성함으로써, 이후의 컨택 충전(contact fill)이 불충분해지게(poor) 한다.
[0004] 따라서, 사전 세정 동안 피쳐들에 대한 손상을 막기 위해 피쳐들의 바닥 표면들로부터 우선적으로(preferentially) 에칭하기 위한 방법들이 필요하다.
[0005] 본원에서 설명되는 실시예들은 일반적으로, 자연 실리콘 산화물들의 선택적 에칭에 관한 것이다. 일 실시예에서, 방법은, 프로세싱 챔버 내에 기판을 배치하는(positioing) 단계 ― 기판은, 노출된 표면 및 노출된 표면 상에 형성되는 산화물 층을 포함하고, 노출된 표면 내에는 하나 또는 그 초과의 피쳐들이 형성되며, 피쳐들은 바닥 표면을 포함함 ― ; 기판을 바이어싱하는 단계; 피쳐들의 바닥 표면들 및 노출된 표면 상에, 물리적으로 또는 화학적으로 활성화된 재료를 선택적으로 형성하기 위해, 기판을 저 에너지 불활성 플라즈마(low energy inert plasma)에 노출시키는 단계; 기판을 제 1 온도로 가열하는 단계; 피쳐들의 바닥 표면들 및 노출된 표면 상에 하나 또는 그 초과의 휘발성 생성물(volatile product)들을 형성하기 위해, 불화 암모늄(NH4F) 또는 NH4F(HF)를 포함하는 프로세싱 가스에 기판을 노출시키는 단계; 및 하나 또는 그 초과의 휘발성 생성물들을 승화시키기 위해, 기판을 제 1 온도 보다 더 높은 제 2 온도로 가열하는 단계를 포함할 수 있다.
[0006] 다른 실시예에서, 방법은, 프로세싱 챔버 내에 실리콘-함유 기판을 배치하는 단계 ― 실리콘-함유 기판은 노출된 표면, 노출된 표면 내에 형성되는 하나 또는 그 초과의 피쳐들, 및 노출된 표면 상에 형성되는 표면 오염물질(surface contaminant)들의 층을 포함함 ― ; 기판을 제 1 온도로 냉각하는 단계; 제 1 온도에서, 기판의 노출된 표면을 불화 암모늄(NH4F), (NH4F)HF 또는 이들의 조합들에 노출시키는 단계; 기판을 바이어싱하는 단계; 피쳐들의 바닥 표면들 및 노출된 표면 상에 하나 또는 그 초과의 휘발성 생성물들을 선택적으로 형성하기 위해, 기판을 저 에너지 불활성 플라즈마에 노출시키는 단계; 기판을 제 2 온도에서 저압에 노출시키는 단계 ― 반응하지 않은(non-reacted) NH4F가 기판의 노출된 표면으로부터 승화됨 ― ; 및 하나 또는 그 초과의 휘발성 생성물들을 승화시키기 위해, 기판을 제 1 및 제 2 온도 보다 더 높은 제 3 온도로 가열하는 단계를 포함할 수 있다.
[0007] 다른 실시예에서, 방법은, 프로세싱 챔버 내에 반도체 기판을 배치하는 단계 ― 반도체 기판은, 노출된 표면, 노출된 표면 내에 형성되는 하나 또는 그 초과의 피쳐들, 및 노출된 표면 상에 형성되는 산화물 층을 포함할 수 있음 ― ; 기판을 바이어싱하는 단계; 오염물질 제거 프로세스(contaminant removal process)를 수행하는 단계; 및 오염물질 제거 프로세스를 한번 또는 그 초과의 횟수로 반복하는 단계를 포함할 수 있으며, 상기 오염물질 제거 프로세스는, 피쳐들의 상단 및 바닥 표면들 상에, 물리적으로 또는 화학적으로 활성화된 재료를 선택적으로 형성하기 위해, 기판을 저 에너지 불활성 플라즈마에 노출시키는 단계; 기판을 제 1 온도로 가열하는 단계; 피쳐들의 상단 및 바닥 표면들 상에 하나 또는 그 초과의 휘발성 생성물들을 형성하기 위해, 불화 암모늄(NH4F) 또는 NH4F(HF)를 포함하는 프로세싱 가스에 기판의 표면을 노출시키는 단계; 및 하나 또는 그 초과의 휘발성 생성물들을 승화시키기 위해, 기판을 제 1 온도 보다 더 높은 제 2 온도로 가열하는 단계를 포함할 수 있다.
[0008] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다.
[0009] 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0010] 도 1은 본원에서 설명되는 실시예들과 함께 이용가능한 예시적인 다중-챔버(multi-chamber) 프로세싱 시스템의 개략적인 상면도(top-view diagram)이다.
[0011] 도 2는 일 실시예에 따른, 방향성 에칭을 위한 방법의 다이어그램이다.
[0012] 도 3은 일 실시예에 따른, 페디스털 온도에 따른 실리콘 산화물의 에칭 레이트를 도시한다.
[0013] 도 4a-4c는 하나 또는 그 초과의 실시예들에 따라 에칭되는 기판의 그래픽 표현(graphical representation)들이다.
[0014] 도 5a 및 도 5b는 본원에서 설명되는 바와 같은, 방향성으로 에칭되는 기판의 투과 전자 현미경(TEM) 사진(view)을 나타낸다.
[0015] 이해를 촉진시키기 위해, 도면들에 대해 공통적인 동일한 엘리먼트들을 가리키기 위해 가능한 경우 동일한 도면부호들이 사용되었다. 일 실시예에 개시된 엘리먼트들이 특정한 언급없이 다른 실시예들에 대해 유익하게 이용될 수 있음이 고려된다.
[0016] 본원에서는, 자연 산화물들을 제거하기 위한 방법들이 설명된다. 비아들 및 트렌치들에서의 표면들의 사전 세정은, 측벽들의 에칭, 및 그 이후의, 세정되는 비아들 또는 트렌치들을 반도체 디바이스 내의 인접하는 피쳐들로부터 분리하는 고체 벽(solid wall)들의 단면 치수들의 감소를 이끌 수 있다. 단면 치수들의 이러한 감소는 디바이스 고장으로 이어질 수 있다. 본원에서 설명되는 실시예들은, 비아 또는 트렌치의 단면 치수들을 보존하면서(preserving), 비아들 및 트렌치들의 바닥들로부터 자연 산화물들을 제거하기 위한, 표면들의 방향성 에칭을 고려한다. 상승된(elevated) 온도들에서의 에천트(etchant)에 대한 등각적 노출(conformal exposure) 이전에 자연 산화물 표면의 방향성 사전 처리(directional pretreatmet)를 이용함으로써, 평형 흡착/탈착(equilibrium adsorption/desorption)으로 인해, 미처리된 표면(untreated surface)이 명목상으로(nominally) 에칭되는 동안, 영향을 받는 표면(affected surface)은 우선적 에칭(preferential etching)을 위한 준비가 된다. 본 발명은 하기에서 도면들과 관련하여 보다 충분하게 설명된다.
[0017] 도 1은 예시적인 다중-챔버 프로세싱 시스템(200)의 개략적인 상면도이며, 다중-챔버 프로세싱 시스템(200)은, 이를 테면 프로세싱 챔버(100)와 같은, 다중-챔버 프로세싱 시스템(200)에 커플링된 하나 또는 그 초과의 프로세싱 챔버들을 가지며, 본원에서 개시되는 바와 같은 프로세스들을 수행하도록 적응될 수 있다. 시스템(200)은, 시스템(200) 내외로 기판들을 이송하기 위한 하나 또는 그 초과의 로드 록 챔버들(202, 204)을 포함할 수 있다. 전형적으로, 시스템(200)은 진공 하에 있기 때문에, 로드 록 챔버들(202, 204)은 시스템(200) 내로 도입되는 기판들을 "펌핑 다운(pump down)"시킬 수 있다. 제 1 로봇(210)은, 로드 록 챔버들(202, 204)과 제 1 세트의 하나 또는 그 초과의 기판 프로세싱 챔버들(212, 214, 216, 100)(4개가 도시됨) 사이에서 기판들을 이송할 수 있다. 프로세싱 챔버들(100 및 216)은, 유입되는(incoming) 기판들을 사전-가열(pre-heat)하고 그리고 그러한 기판들로부터 수분을 몰아내는(drive) 데에 이용되는 탈가스 챔버(degas chamber)들일 수 있다. 프로세싱 챔버들(212 및 214)은 사전 세정 챔버(preclean chamber)들일 수 있다. 다른 챔버들에 대한, 사전 세정 프로세스를 수행하는 데에 이용되는 프로세싱 챔버(212 및 214)의 위치들은 예시를 위한 것이며, 그리고 요구되는 경우, 프로세싱 챔버(212 및 214)의 위치는, 선택적으로, 프로세싱 챔버들(212, 214, 216 또는 100) 중 임의의 프로세싱 챔버와 스위칭될 수 있다. 또한, 요구되는 경우, 챔버들 중 하나 또는 그 초과의 챔버는 빈 위치(empty position)(250)에 배치될 수 있다.
[0018] 제 1 로봇(210)은 또한, 하나 또는 그 초과의 이송 챔버들(222, 224)로/로부터 기판들을 이송할 수 있다. 이송 챔버들(222, 224)은, 기판들이 시스템(200) 내에서 이송되도록 허용하면서, 초고 진공 상태(ultrahigh vacuum condition)들을 유지하기 위해 이용될 수 있다. 제 2 로봇(230)이, 이송 챔버들(222, 224)과 제 2 세트의 하나 또는 그 초과의 프로세싱 챔버들(232, 234, 236, 238) 사이에서 기판들을 이송할 수 있다. 프로세싱 챔버들(212, 214, 216, 100)과 유사하게, 프로세싱 챔버들(232, 234, 236, 238)은 다양한 기판 프로세싱 동작들을 수행하도록 갖춰질(outfitted) 수 있다.
[0019] 프로세싱 챔버(212 또는 214)는, 기판 상에 사전-컨택 층(pre-contact layer) 또는 금속 실리사이드 층을 형성하기 전에 기판 표면으로부터 자연 산화물들 또는 다른 오염물질들을 제거하도록, 이를 테면, 기판 상에 배치된 피쳐들의 바닥들로부터 자연 산화물들을 제거하도록 구성될 수 있다. 프로세싱 챔버(212 또는 214)는, 플라즈마 보조형(assisted) 건식 에칭 프로세스(즉, "사전 세정 프로세스")를 수행하는 데에 특히 유용할 수 있다. 프로세싱 챔버(212 또는 214)는, 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.로부터 입수가능한 Preclean PCII, PCXT 또는 에칭 챔버들일 수 있다. 다른 제조사들로부터 입수가능한 다른 챔버들이 또한, 본 발명을 실시하는 데에 이용될 수 있음이 주목된다.
[0020] 프로세싱 챔버(212 또는 214)에서 사전 세정 프로세스가 수행된 후, 기판은, 프로세스의 제 2 단계를 수행하기 위해, 이를 테면, 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.로부터의 SiConi 챔버 또는 에칭 챔버와 같은, 시스템(200) 내에 배치된 프로세싱 챔버들(100, 212, 214, 216, 232, 234, 236, 238) 중 임의의 챔버에 추가적으로 이송될 수 있다.
[0021] 도 2는 일 실시예에 따른, 방향성 에칭을 위한 방법의 다이어그램이다. 방법(300)은, 302에서와 같이, 표면 오염물질들을 갖는 기판을 프로세싱 챔버 내에 배치하는 단계를 포함할 수 있다. 프로세싱 챔버는 도 1과 관련하여 설명된 바와 같은 프로세싱 챔버일 수 있거나, 또는 상이한 프로세싱 챔버일 수 있다. 프로세싱 챔버는, 적어도, 기판을 특정 온도로 유지하고, 기판을 바이어싱하고, 그리고 NH4F를 형성(예를 들어, 플라즈마에서 NH4F를 생성)할 수 있는 것이어야 한다. 기판은, 표면 상에 피쳐들이 형성되어 있는 실리콘 기판일 수 있다. 피쳐들은, 이를 테면 22nm 미만과 같은, 가변(varying) 단면 치수들의 하나 또는 그 초과의 비아들 및 트렌치들을 포함할 수 있다. 자연 산화물들은 기판의 하나 또는 그 초과의 표면들 상에 형성될 수 있는 바, 이를 테면, 노출된 모든 표면들 상에 실리콘 이산화물이 형성된다. 자연 산화물들은 또한, 이를 테면 대기에 대한 노출과 같은 형성 환경들에 의존하는 가변 두께로 이루어질 수 있다.
[0022] 방법(300)은, 304에서와 같이, 저 에너지 다이렉트 플라즈마(low energy direct plasma)로 기판을 처리하는 단계를 더 포함할 수 있다. 다이렉트 플라즈마는 임의의 불활성 가스를 포함할 수 있다. 불활성 가스들은, 헬륨 또는 아르곤과 같은 영족 기체(noble gas)들을 포함한다. 불활성 가스는, 기판을 스퍼터링하지 않을 정도의 충분히 낮은 에너지의 플라즈마로 형성된다.
[0023] 다이렉트 플라즈마는, 셀프-바이어스(self-bais)로 인한 것일 수 있는, 웨이퍼 표면에서의 바이어스 전압, 기판 웨이퍼 지지 페디스털로의 RF 바이어스 에너지의 직접 인가(direct application), 또는 이 둘의 조합을 포함한다. 기판 상의 바이어스는 임의의 전력으로 이루어질 수 있지만, 바람직하게는, 기판 스퍼터 임계치(substrate sputter threshold) 미만이다. 기판 스퍼터 임계치는 150V 미만, 이를 테면 75V 미만일 수 있다. 바이어스는, 가변 주파수들로 전달될 수 있는 바, 이를 테면, 2Mhz, 13.56Mhz, 60Mhz 또는 이들의 조합들의 바이어스가 전달될 수 있다. 기판에 인가되는 바이어스는, 플라즈마 내의 이온화된 가스(ionized gas)를 기판쪽으로 끌어당기며, 기판에서, 이온화된 가스는, 이온화된 가스 이동의 방향에 수직인 표면들, 이를 테면, 기판 상의 트렌치 또는 비아의 바닥을 친다(strike). 이에 따라, 이온화된 가스는, 기계적 러프닝(mechanical roughening), 표면에서의 댕글링 본드(dangling bond)들의 형성, 표면 밀도 변경, 또는 비정질 표면들의 형성을 포함하는 다양한 메커니즘들에 의해, 표면을 변경한다. 이러한 표면 변경들은 이후의 방향성 에칭을 위해 표면을 준비한다.
[0024] 방법(300)은, 306에서와 같이, 기판을 제 1 온도로 가열하는 단계를 더 포함할 수 있다. 제 1 온도는 65℃ 내지 100℃, 이를 테면 70℃ 내지 100℃의 온도일 수 있다. 하나 또는 그 초과의 실시예들에서, 기판은, 기판 지지 부재 내에 형성된 가열 장치에 의해, 65℃ 초과, 이를 테면 65℃ 내지 110℃의 온도로 가열될 수 있다. 일 실시예에서, 기판은 70℃로 유지된다. 다른 실시예에서, 기판은 70℃ 내지 110℃의 온도로 유지된다.
[0025] 방법(300)은, 308에서와 같이, 기판을 에칭하기 위해 불화 암모늄(NH4F)을 형성하는 단계를 더 포함할 수 있다. NH4F는, 웨이퍼 표면들로부터 실리콘 산화물들, SiN, 또는 다른 재료들을 제거하기 위한 건식 에칭 프로세스들에서 이용될 수 있다. NH4F는 프로세싱 챔버 내에서 암모니아(NH3)와 삼불화질소(NF3)의 가스 혼합물들로부터 인시츄(in-situ)로 형성될 수 있다. NH4F로 SiO2를 에칭하는 것은 일반적으로, 가열된 기판 상에서 달성된다. 기판은 NH4F의 이슬점(dew point) 초과의 온도로 가열될 수 있다. 이슬점은, 프로세싱 챔버 압력과 같은 프로세스 조건(process condition)들에 의존할 것이다. 기판의 표면 상의 실리콘 산화물들을 제거하기 위해 에칭 가스 혼합물이 챔버에 도입된다. 일 실시예에서는, 그러면, 암모니아 및 삼불화 질소 가스들이 에칭 가스 혼합물을 형성하기 위해 플라즈마 챔버 내로 도입된다. 플라즈마 챔버 내로 도입되는 각각의 가스의 양은 가변적이며, 그리고 예를 들어, 제거될 산화물 층의 두께, 세정되는 기판의 기하형상, 플라즈마의 볼륨 용량(volume capacity), 챔버의 볼륨 용량 뿐 아니라, 챔버에 커플링된 진공 시스템의 성능들(capabilities)에 맞추도록(accommodate) 조정될 수 있다. 에칭 가스 혼합물의 비율은 기판 표면 상의 다양한 산화물들을 제거하기 위해 미리 결정될 수 있다. 에칭 가스 혼합물 내의 가스 혼합물의 비율은, 사전-처리된 산화물들, 이를 테면, 피쳐들의 상단 및 바닥 표면들 상에 형성된 자연 산화물들을 우선적으로 제거하도록 조정될 수 있다. 일 실시예에서, 에칭 가스 혼합물 내에서의 암모니아 대 삼불화질소의 몰비(molar ratio)는 실리콘 산화물들을 균일하게 제거하도록 설정될 수 있다.
[0026] 일 실시예에서, 에칭 가스 혼합물의 에칭 레이트는, 암모니아 및 삼불화질소의 몰비를 미리 결정된 값 초과로 유지하면서 삼불화질소의 유량을 조정함으로써, 조정될 수 있다. 일 실시예에서, 에칭 레이트는, 암모니아 및 삼불화질소의 비율을 약 3:1 초과로 유지하면서 삼불화질소의 유량을 증가 또는 감소시킴으로써, 증가 또는 감소될 수 있다. 다른 실시예에서, 암모니아 및 삼불화질소의 비율은 약 1:1 일 수 있다.
[0027] 암모니아 및 삼불화질소 가스들은 원격 플라즈마 챔버 내에서 반응성 종들(reactive species)로 해리될(dissociated) 수 있다. 해리된 종들이 결합하여, 고도의 반응성 불화 암모늄(NH4F) 화합물 및/또는 기체상(gas phase)의 불화수소 암모늄(ammonium hydrogen fluoride, NH4FㆍHF)을 형성할 수 있다. 이들 분자들이, 프로세싱될 기판 표면과 반응한다. 일 실시예에서, 불활성 캐리어 가스가 먼저 플라즈마 챔버 내로 도입되고, 캐리어 가스의 플라즈마가 생성된 다음, 반응성 가스들, 암모니아 및 삼불화질소가 플라즈마에 부가된다.
[0028] 이론에 의해 구속되기를 원하지 않으면서, 에천트 가스, 즉 NH4F 및/또는 NH4FㆍHF가 실리콘 산화물 표면과 반응하여, 암모늄 헥사플루오로실리케이트(ammonium hexafluorosilicate, (NH4)2SiF6), NH3, 및 H2O 생성물들을 형성하는 것으로 여겨진다. NH3, 및 H2O는 프로세싱 조건들에서 증기들이며, 진공 펌프에 의해 챔버로부터 제거된다. 기판 표면 상에는 (NH4)2SiF6의 얇은 필름이 남겨진다. 이러한 반응 메커니즘은 다음과 같이 요약될 수 있다:
NF3 + 3NH3 → NH4F + NH4FㆍHF + N2
6NH4F + SiO2 → (NH4)2SiF6 + 2H2O + 4NH3
(NH4)2SiF6 + 열(heat) → 2NH3 + 2HF + SiF4
[0029] 생성물들이 반응한 후, 반응하지 않은 NH4F는, 추가의 프로세싱에 영향을 미치지 않도록 하기 위해, 저압에서 승화되어 챔버로부터 제거될 수 있다.
[0030] 방법(300)은, 312에서와 같이, 휘발성 부산물들을 승화시키기 위해 기판을 제 2 온도로 가열함으로써 (NH4)2SiF6를 제거하는 단계를 더 포함할 수 있다. 기판 표면 상에 얇은 필름이 형성된 후, 지지 부재는, 가열된 가스 분배 플레이트에 아주 근접하는 어닐 위치로 들어올려질 수 있다. 가스 분배 플레이트로부터 방출되는(radiated) 열(heat)이 (NH4)2SiF6의 얇은 필름을 휘발성 SiF4, NH3 및 HF 생성물들로 해리 또는 승화시킬 수 있다. 이들 휘발성 생성물들은 이후, 상기 설명된 바와 같이 진공 펌프에 의해 챔버로부터 제거된다. 전형적으로, 얇은 필름을 효과적으로 승화시켜서 기판으로부터 제거하기 위해, 75℃ 또는 그 초과의 온도가 이용된다. 바람직하게는, 100℃ 또는 그 초과, 이를 테면 약 115℃ 내지 약 200℃의 온도가 이용된다. 고체(solid) (NH4)2SiF6의 승화는 또한, 웨이퍼를 지지하는 데에 이용되는 웨이퍼 서셉터의 온도를 증가시켜서 웨이퍼를 가열함으로써, 달성될 수 있다. 웨이퍼 가열은 동일한 프로세스 챔버에서 달성될 수 있거나, 또는 가열 및 승화 단계(heat and sublimation step)를 다른 곳으로 옮기는 것이 더 효율적인 경우에는 다른 챔버에서 달성될 수 있다.
[0031] 방법(300)은, 314에서와 같이, 챔버로부터 휘발성 부산물들을 진공배기(evacuate)시키기 위해 불활성 가스를 유동시키는 단계를 더 포함할 수 있다. (NH4)2SiF6의 얇은 필름을 그 휘발 성분(volatile component)들로 해리시키기 위한 열 에너지는, 대류(convection) 또는 방사(radiation)를 통해 가스 분배 플레이트에 의해 전달된다. 일 양상에서, 분배 플레이트는 100℃ 내지 150℃, 이를 테면 약 120℃의 온도로 가열된다. 추가의 실시예들은, 휘발성 부산물들의 승화를 강화하기 위해, 저 에너지 플라즈마, 이를 테면, 사전 처리 프로세스와 관련하여 설명된 바와 같은 플라즈마를 이용한다. 플라즈마는, 기판으로부터의 산화물들을 스퍼링하지 않게 될 에너지 레벨로 균일하게 기판의 표면에 전달된다. 기판을 가열함과 동시에, 저 에너지 플라즈마를 이용함으로써, 승화를 위한 활성화 에너지가 감소될 수 있는 것으로 여겨진다. 예를 들어, (NH4)2SiF6의 층은, 승화시키기 위해 특정 시간 기간에 걸쳐서 120℃의 온도를 필요로 하는 특정 두께로 이루어질 수 있다. 저 에너지 플라즈마를 이용함으로써, (NH4)2SiF6의 층은, 동일한 시간 기간에 걸쳐서 100℃에서 승화될 수 있거나, 더 짧은 시간 기간에 걸쳐서 120℃에서 승화될 수 있다.
[0032] 일단 필름이 기판으로부터 제거되었으면, 316에서와 같이, 프로세스가 종료될 수 있다. 프로세싱 챔버는 퍼징되고(purged) 진공배기된다. 이후, 프로세싱된 기판은, 기판 부재를 이송 위치로 낮추고, 기판을 디척킹(de-chucking)하고, 슬릿 밸브 개구(slit valve opening)를 통해 기판을 이송함으로써, 챔버로부터 제거된다.
[0033] 이론에 의해 구속되기를 의도하지 않으면서, NH4F 또는 NH4F(HF)의 낮은 부분 압력(partial pressure)들 및 상승된 온도들에서, 304에서와 같은 플라즈마 활성화가 없는 SiO2의 화학적 에칭 레이트는 명목적(nominal)(예를 들어, 거의 제로)일 수 있는 것으로 여겨지는데, 왜냐하면 NH4F 에천트의 부분 압력이, NH4F 응결(condensation)을 위해 요구되는 부분 압력 아래로 유지될 수 있기 때문이다(웨이퍼는 NH4F에 대한 이슬점 온도 초과에 있다). 표준 NH4F 에칭 프로세스 동안, 기판은 40℃ 미만의 온도, 이를 테면 25℃ 내지 40℃의 온도로 유지될 것이다. 이러한 온도 범위에서, 기판 상의 산화물 층과 NH4F 간의 반응은, 반응이 제한되는(reaction limited) 것으로 여겨지며, 이에 따라, 더 높은 레벨들의 반응물(reactant)이 산화물 층의 증가되고 균일한 에칭을 이끌 것이다. 웨이퍼 온도가 NH4F의 이슬점을 초과하는 온도로 올라가면, 흡착 레이트는, 이를 테면 트렌치 및 비아 측벽들과 같은, 304에서와 같은 플라즈마 활성화에 노출되지 않은 기판 표면들 상에서의 NH4F의 흡착 레이트에 더 가까워진다. 따라서, 트렌치 및 비아 측벽들 및 수직 표면들은 일반적으로 에칭되지 않는다. 하지만, 불활성 플라즈마로 사전 처리된, 반도체 디바이스 상의 표면들은, 304에서 설명된 바와 같이 활성화되고, 강화된 NH4F 또는 NH4F(HF) 흡착을 나타낸다. 이들 플라즈마 활성화된 표면들 상에서, NH4F가 흡착되며, 실리콘 산화물들의 NH4F 기반 에칭(NH4F based etching)이 달성된다. 따라서, 65℃ 내지 100℃, 이를 테면 70℃ 내지 100℃의 온도들에서, 트렌치들 및 비아들의 측벽들에서의 실리콘 산화물은 에칭되지 않으며, 그리고 비아들 및 트렌치들의 바닥들 및 기판의 상부 표면과 같은, 활성화된 구역(activated area)들에서의 실리콘 산화물은 에칭된다.
[0034] 상기 방법에 의해 설명된 에칭 프로세스는 또한, SiN, Si, 및 금속 실리사이드들에 대해 실리콘 산화물을 선택적으로 에칭할 수 있다. NH4F는, 실리콘 질화물 또는 금속 실리사이드들과 같은 층들을 실질적으로 에칭하지 않으면서 실리콘 산화물을 에칭한다. SiO2와 SiN 간의 선택비(selectivity)는 5:1 초과이며, 몇몇 예들에서는, 9:1 초과이다. SiO2 대 Si의 선택비는 적어도 5:1 초과이다. 따라서, 상기 방법은 실리콘 산화물들의 에칭에 있어서 선택비 및 방향성(directionality) 모두를 제공한다. GeO2와 같은 다른 산화물들이 또한, 이러한 방식으로 에칭될 수 있다.
[0035] NH4F 또는 NH4F(HF) 노출과 플라즈마 활성화의 조합에 의해, 몇몇 금속 산화물들을 에칭하는 것이 또한 가능하다. 예로서, 니켈 실리사이드(NiSi)는 자신의 표면 상에 실리콘 산화물들과 니켈 산화물의 혼합물들을 형성할 수 있다. 이러한 방법에서 이용되는 플라즈마 활성화는, 물리적 충격(physical bombardment)(스퍼터링) 및 강화된 화학 반응 양자 모두에 의해, 표면으로부터의 NiO의 화학적 제거를 용이하게 할 수 있다. 다른 금속 산화물들 및 실리사이드들이 유사한 방식으로 세정될 수 있다.
[0036] 상기 설명된 방법은 또한, SiGe와 같은 다른 반도체 재료들에도 적용될 수 있다. 공기 노출된(air exposed) SiGe 또는 Ge는, NH4F(HF)에 의한 에칭을 일반적으로 저하시키는(degrade) 흡착된 탄소 함유 종들의 표면 층을 급속하게 형성한다. 표면의 플라즈마 활성화는, 표면 탄소를 제거하고 그리고 NH4F(HF)에 의한 이후의 표면 에칭을 강화하는 것으로 나타났다. 도 2와 관련하여 설명된 방법과 함께 이용하기에 적합한 재료들의 많은 다른 예들이 존재한다. 이들 예들은 보다 넓은 부류(class)의 세정 적용예(cleaning application)들을 예시하는 것으로 의도되며, 제한적인 것으로 의도되지 않는다.
[0037] 도 3은, 일 실시예에 따른, 페디스털 온도에 따른 실리콘 산화물의 에칭 레이트의 그래프(350)를 도시한다. 표면 상에 실리콘 산화물 층이 형성되어 있는 실리콘 기판이 프로세싱 챔버 내에 배치되었고, 각각의 온도 레벨로 프로세싱되었다. 기판들은 기판 지지부 상에 배치되었고, 100W의 RF 바이어스를 인가받았으며(received), 여기서, 바이어스는 최적으로는 25W 내지 200W 이다. 저 에너지 불활성 플라즈마(예를 들어, 100W RF 전력에서 형성되는 아르곤 또는 헬륨 플라즈마)가, 바이어싱된(biased) 기판에 전달되어, 기판의 수평 영역(horizontal region)들 만을 사전 처리하였다. 불활성 플라즈마는, SiO2에 대해 화학적으로 반응적(chemically reactive)이지 않은 플라즈마, 이를 테면 Ar, He, H2, N2 또는 이들의 조합들을 지칭한다.
[0038] 이후, 사전-처리된 기판은, 15℃ 내지 70℃의 웨이퍼 지지부 온도들에서 프로세싱 챔버 내에서, 원격으로(remotely) 생성된 NH4F 에천트에 노출되었다. 에칭 레이트들이 측정되었으며, 온도(℃)에 대한 산화물 에칭 레이트(Å/sec)를 갖는 그래프로 나타낸 바와 같이 플롯팅되었다(plotted). 처리된(treated) 및 미처리된(untreated) 영역들은 15℃ 내지 30℃의 온도들에서 어떠한 차이도 나타내지 않았다. 30℃ 내지 약 62℃에서, 처리된 표면은 더 높은 레이트로 에칭되었다. 하지만, 처리된 및 미처리된 표면들 양자 모두는 에칭 레이트의 선형적 감소(linear decline)를 나타낸다. 30℃ 이후의 에칭 레이트의 감소는, (NH4)2SiF6의 형성 이전에 기판의 표면으로부터의 NH4F의 탈착의 증가와 관련된 것으로 여겨진다. 에칭 레이트는, 사전-처리 단계로부터의 표면 변경들로 인해, 사전-처리된 표면 상에서 더 높은 것으로 여겨진다. 62℃ 보다 더 높은 온도들에서, 미처리된 표면 상에서의 에칭 레이트는, 처리된 표면 에칭 레이트 또는 이전 온도에서의 미처리된 표면 에칭 레이트 보다 상당히 더 낮다. 이러한 온도 또는 그 초과의 온도에서, 흡착 레이트 및 탈착 레이트는 같은 것으로 여겨진다. 따라서, 미처리된 표면들 상에 최소량의 (NH4)2SiF6이 형성된다. 사전-처리된 표면들은 에칭 레이트의 선형적 감소를 계속해서 나타내지만, 처리된 표면 에칭 레이트들은 미처리된 표면 에칭 레이트들 보다 상당히 더 높다. 도 3에 나타낸 강화된 SiO2 에칭 레이트들에 대한 특정 온도 범위들은, 특정 NH4F 부분 압력 및 관련된 NH4F 이슬점 온도에 기초한다. 더 높은 NH4F 부분 압력들을 이용하는 프로세스들은, 그 에칭 레이트들을 도 3에 나타낸 것 보다 더 높은 온도들로 시프트시킬 수 있다. 110℃ 또는 그 초과의 기판 온도들에 대해, 플라즈마 처리된 표면과 플라즈마 처리되지 않은 표면(non-plasma treated surface) 간에 차동적(differential) 에칭 레이트들을 달성하는 것이 가능하다.
[0039] 도 4a-4c는 하나 또는 그 초과의 실시예들에 따라 에칭되는 기판(500)의 그래픽 표현들이다. 도 4a는 일 실시예에 따른, 표면 산화물 층(503)을 갖는 기판(500)을 도시한다. 기판(500)은 결정 실리콘 기판과 같은 실리콘-함유 기판일 수 있다. 기판(500)은 상부 표면(502)을 갖는다. 상부 표면(502)은, 그 위에 형성된 표면 산화물 층(503), 이를 테면, 실리콘-함유 기판 상에 형성되는 실리콘 산화물 층을 갖는다. 표면 산화물 층(503)은, 챔버들 간의 이송(즉, 대기에 대한 노출)의 결과일 수 있다. 기판(500)은 그 내에 형성된 트렌치들 및 비아들, 이를 테면 비아(508)를 더 가질 수 있다. 표면 산화물 층(503)은 피쳐(feature)들의 측벽 표면들(506)일 수 있다. 기판(500)은 상기 설명된 바와 같이 프로세싱 챔버 내에 배치될 수 있다.
[0040] 도 4b는 일 실시예에 따른, 플라즈마 사전-처리 동안의 기판(500)을 도시한다. 상기 실시예들과 관련하여 설명된 바와 같이, 기판(500)은 저 에너지 불활성 플라즈마(510)로 처리된다. 플라즈마(510)는, 측벽 표면들(506)을 변경하지 않으면서, 상단 및 바닥 표면들(512)을 변경할 수 있다. 기판(500) 내의 바이어스는, 저 에너지 불활성 플라즈마에 대한 방향성을 제공한다. 기판에 전달되는 바이어스는 20W 내지 200W 일 수 있다. 표면의 플라즈마 처리는 또한, 용량성 결합된 플라즈마(capacitively coupled plasma) 또는 유도성 결합된 플라즈마(inductively coupled plasma)에서의 웨이퍼의 셀프-바이어스에 의존함으로써, 달성될 수 있다. 바이어싱 플라즈마(biasing plasma)는 또한, 350KHz 내지 60MHz의 RF 주파수들에서 작동할(run) 수 있다. 바이어싱 플라즈마는, 웨이퍼에 전달되는 이온 도즈 강도(ion dose intensity)를 추가적으로 조정(tailor)하기 위해 연속형(continuous)이거나 펄스형(pulsed)일 수 있다.
[0041] 도 4c는 일 실시예에 따른, NH4F 에천트에 의해 에칭한 이후의 기판(500)을 도시한다. 기판(500)은 제 2 온도, 이를 테면, 62℃ 보다 더 높은 온도로 가열된다. 기판(500)은 이후, 이전에 형성된 NH4F 에천트로 처리된다. NH4F 에천트는 수평의 (사전-처리된) 표면들(512) 내로 우선적으로 흡착되며, 수직의 (미처리된) 표면들(506)에 대해서는 거의 흡착되지 않는다. (NH4)2SiF6 필름이 수평 표면들(512) 상에 그러한 수평 표면들(512)로부터 형성된 후, 기판은 (NH4)2SiF6 필름을 승화시키기 위해 어닐링되며, 그에 따라, 세정된(cleaned) 표면들(514)을 노출시킨다. 수직 표면들(506)의 조성(composition) 및 두께는 실질적으로 변경되지 않는다.
[0042] NH4F 플라즈마 처리 동안 기판(500)에 인가되는 높은 온도는, 승화를 강화함으로써 에칭을 추가적으로 조력하는 것으로 여겨진다. 기판이 유지되는 온도가, (NH4)2SiF6 필름이 승화되는 것으로 여겨지는 온도에 매우 가까워짐에 따라, 사전 처리된 표면들 상에 필름이 형성될 때에 그러한 필름은 부분적으로 승화될 것으로 기대된다. 따라서, NH4F 에천트에 더 많은 표면을 동시에 노출시키면서, 더 적은 어닐링이 필요하다.
[0043] 본 발명에서 포착되는(captured) 하나의 대안적인(alternate) 구현은, NH4F/NH4F(HF) 노출 프로세스 동안 웨이퍼를 (NH4)2SiF6에 대한 승화 온도를 초과하는 온도로 유지하는 것이다. 이러한 방식으로, 화학적 에칭 부산물들이 형성됨과 동시에 제거됨으로써, 최종의 기판 어닐 단계에 대한 필요성을 감소시키거나 없앨 수 있으며 그리고 웨이퍼 프로세싱 처리량을 상당히 증가시킬 수 있다.
[0044] 도 5a 및 도 5b는 일 실시예에서 개시되는 바와 같이 사전 세정된(precleaned) 실리콘 산화물 층의 투과 전자 현미경 이미지를 나타낸다. 도 5a는 2개의 트렌치들이 내부에 형성되어 있는 실리콘 기판을 나타낸다. 실리콘 기판의 표면 상에는, 대략 230Å 두께의 실리콘 산화물의 등각적인(conformal) 층이 배치된다. 도 5b는 본원에서 설명되는 본 발명의 일 실시예에 의한 방향성 에칭 이후의 동일한 구조를 나타낸다. 실리콘 기판은 100 mTorr의 압력에서 아르곤의 저 에너지 불활성 플라즈마로 사전 처리되었다. 플라즈마에 대한 조건들은 실리콘 산화물 층의 스퍼터링을 막도록 선택되었다. 기판은 플라즈마 처리 동안 13.56Mhz에서 50W 바이어스를 인가받았으며, 플라즈마는 10초 동안 전달되었다. 불활성 플라즈마 처리 후(post-inert plasma treatment), 기판은 원격 플라즈마 소스에서 형성된 NH4F에 노출되었다. 기판은 NH4F에 의한 처리 동안 75℃의 온도로 유지되었다. 사전 처리된 표면들 상에 (NH4)2SiF6 필름이 형성되었으며, 이러한 (NH4)2SiF6 필름은 120℃에서의 처리 후 어닐(post-treatment anneal) 동안 기판의 표면으로부터 승화되었다.
[0045] 도 5b는, 트렌치 구조들의 상단 및 바닥에서의 산화물 두께는 상당히 감소되었지만, 측벽 산화물 층들의 두께는 거의 변화되지 않았음을 나타낸다. 바닥 에칭량은 91Å 이었는데, 이는 상단 표면들에서의 106Å의, 약간 더 많은(higher) 에칭량과 밀접하게 상관된다. 측벽들은, 상단부 측벽(top side wall)에서의 5Å으로부터 중간 측벽(mid side wall)에서의 0Å 까지 에칭되었다. 결정되는 에칭 레이트들은, 측벽 표면들에 비하여 상단 및 바닥 표면들의 적어도 10:1의 우선적인 에칭을 나타낸다.
결론
[0046] 본원에서 설명되는 실시예들은 표면으로부터의 자연 산화물들의 방향성 제거 방법들에 관한 것이다. 상기 실시예들은, 미처리된 표면들에 비하여, 사전 처리된 표면들의 우선적인 에칭을 나타낸다. 실리콘, SiGe, SiC, 및 다양한 금속 실리사이드 표면들 상에, 다양한 수단에 의해, SiO2가 형성되는 바, 이는 비아들 및 트렌치들에서의 적절한 증착을 위해 제거되어야 한다. 하지만, 현대의(modern day) 비아들 및 트렌치들의 단면 치수들의 변경(이는 디바이스 고장으로 이어질 수 있다)을 막는 것이 중요하다. 바이어싱된 기판을 저 에너지 불활성 플라즈마로 사전 처리함으로써, 수평 표면들이, 이용가능한 표면 사이트(surface site)들의 본딩 에너지(bonding energy) 또는 타입의 변화에 의해 또는 물리적으로 변경될 것이다. 따라서, 고온에서의 NH4F 플라즈마에 의한 화학적 에칭은, 비아들 또는 트렌치들의 측벽들 상의 미처리된 표면들에 영향을 미치지 않으면서, 사전-처리된 표면들을 제거하는 데에 효과적일 것이다.
[0047] 상기 내용이 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 및 추가의 실시예들이 본 발명의 기본적인 범위를 벗어나지 않으면서 안출될 수 있으며, 본 발명의 범위는 하기의 청구항들에 의해 결정된다.

Claims (15)

  1. 방법으로서,
    프로세싱 챔버 내에 기판을 배치하는(positioning) 단계 ― 상기 기판은,
    노출된 표면 ― 상기 노출된 표면은, 상기 노출된 표면 내에 형성되는 하나 또는 그 초과의 피쳐(feature)들을 갖고, 상기 피쳐들은 바닥 표면(bottom surface)을 포함함 ― ; 및
    상기 노출된 표면 상에 형성되는 산화물 층을 포함함 ― ;
    상기 기판을 바이어싱하는 단계;
    상기 피쳐들의 바닥 표면들 및 상기 노출된 표면 상에, 물리적으로 또는 화학적으로 활성화된 재료를 선택적으로 형성하기 위해, 상기 기판을 저 에너지 불활성 플라즈마(low energy inert plasma)에 노출시키는 단계;
    상기 기판을 제 1 온도로 가열하는 단계;
    상기 피쳐들의 상기 바닥 표면들 및 상기 노출된 표면 상에 하나 또는 그 초과의 휘발성 생성물(volatile product)들을 형성하기 위해, 상기 기판을 불화 암모늄(NH4F) 또는 NH4F(HF)를 포함하는 프로세싱 가스에 노출시키는 단계; 및
    상기 하나 또는 그 초과의 휘발성 생성물들을 승화(sublimate)시키기 위해, 상기 기판을 상기 제 1 온도 보다 더 높은 제 2 온도로 가열하는 단계
    를 포함하는,
    방법.
  2. 제 1 항에 있어서,
    상기 제 1 온도는 70℃ 내지 90℃의 온도인,
    방법.
  3. 제 1 항에 있어서,
    상기 제 2 온도는 100℃ 초과의 온도인,
    방법.
  4. 제 1 항에 있어서,
    상기 제 1 온도 및 상기 제 2 온도는 모두 100℃ 초과이며, 에칭 및 에칭 부산물(etch byproduct)들의 승화가 동시에 일어나는,
    방법.
  5. 제 1 항에 있어서,
    상기 기판을 제 2 온도로 가열하는 단계는, 상기 기판의 상기 노출된 표면을 저 에너지 불활성 플라즈마에 노출시키는 단계를 더 포함하는,
    방법.
  6. 제 1 항에 있어서,
    상기 프로세싱 가스로부터 플라즈마가 형성되며, 상기 플라즈마는 원격으로(remotely) 형성되는,
    방법.
  7. 제 1 항에 있어서,
    상기 프로세싱 가스는, 암모니아(NH3) 및 삼불화질소(NF3)를 포함하는 가스 혼합물로부터 형성되는,
    방법.
  8. 제 7 항에 있어서,
    상기 가스 혼합물은, 암모니아(NH3) 및 삼불화질소(NF3) 각각의 1:1 또는 그 보다 더 높은 비율인,
    방법.
  9. 제 1 항에 있어서,
    NH3, NF3, HF, F2, H2, He, Ar 또는 이들의 조합들을 포함하는 형성 가스(formation gas)로부터, 상기 프로세싱 챔버로부터 원격의(remote) 플라즈마에서 NH4F가 형성되는,
    방법.
  10. 제 1 항에 있어서,
    상기 프로세싱 가스에 노출되는 동안 상기 기판을 상기 제 1 온도로 유지하는 단계;
    상기 기판을 제 2 챔버로 이동시키는 단계; 및
    하나 또는 그 초과의 에칭 부산물들을 승화시키는 단계를 더 포함하는,
    방법.
  11. 제 1 항에 있어서,
    상기 기판을 저 에너지 불활성 플라즈마에 노출시키는 단계, 상기 기판을 제 1 온도로 가열하는 단계, 상기 기판을 NH4F 또는 NH4F(HF)를 포함하는 프로세싱 가스에 노출시키는 단계, 및 상기 제 2 온도로 가열하는 단계는 한번 또는 그 초과의 횟수로 반복되는,
    방법.
  12. 방법으로서,
    프로세싱 챔버 내에 실리콘-함유 기판을 배치하는 단계 ― 상기 실리콘-함유 기판은,
    노출된 표면;
    상기 노출된 표면 내에 형성되는 하나 또는 그 초과의 피쳐들; 및
    상기 노출된 표면 상에 형성되는 표면 오염물질(surface contaminant)들의 층을 포함함 ― ;
    상기 기판을 제 1 온도로 냉각하는 단계;
    상기 제 1 온도에서, 상기 기판의 상기 노출된 표면을 불화 암모늄(NH4F), (NH4F)HF, HF 또는 이들의 조합들에 노출시키는 단계;
    상기 기판을 바이어싱하는 단계;
    상기 하나 또는 그 초과의 피쳐들의 바닥 표면들 및 상기 노출된 표면 상에 하나 또는 그 초과의 휘발성 생성물들을 선택적으로 형성하기 위해, 상기 기판을 저 에너지 불활성 플라즈마에 노출시키는 단계;
    상기 기판을 제 2 온도에서 저압에 노출시키는 단계 ― 반응하지 않은(non-reacted) NH4F는 상기 기판의 상기 노출된 표면으로부터 승화됨 ― ; 및
    상기 하나 또는 그 초과의 휘발성 생성물들을 승화시키기 위해, 상기 기판을 상기 제 1 및 제 2 온도 보다 더 높은 제 3 온도로 가열하는 단계
    를 포함하는,
    방법.
  13. 제 12 항에 있어서,
    상기 노출된 표면은, 암모니아(NH3) 및 삼불화질소(NF3)를 포함하는 가스 혼합물에 노출되며, 상기 가스 혼합물은, 암모니아(NH3) 및 삼불화질소(NF3) 각각의 1:1 또는 그 보다 더 높은 비율인,
    방법.
  14. 제 12 항에 있어서,
    NH3, NF3, HF, F2, H2, He, Ar 또는 이들의 조합들을 포함하는 형성 가스로부터, 상기 프로세싱 챔버로부터 원격의 플라즈마에서 NH4F가 형성되는,
    방법.
  15. 방법으로서,
    프로세싱 챔버 내에 반도체 기판을 배치하는 단계 ― 상기 반도체 기판은,
    노출된 표면,
    상기 노출된 표면 내에 형성되는 하나 또는 그 초과의 피쳐들, 및
    상기 노출된 표면 상에 형성되는 산화물 층을 포함함 ― ;
    상기 기판을 바이어싱하는 단계;
    오염물질 제거 프로세스(contaminant removal process)를 수행하는 단계; 및
    상기 오염물질 제거 프로세스를 한번 또는 그 초과의 횟수로 반복하는 단계를 포함하며,
    상기 오염물질 제거 프로세스는,
    상기 피쳐들의 상단 및 바닥 표면들 상에, 물리적으로 또는 화학적으로 활성화된 재료를 선택적으로 형성하기 위해, 상기 기판을 저 에너지 불활성 플라즈마에 노출시키는 단계;
    상기 기판을 제 1 온도로 가열하는 단계;
    상기 피쳐들의 상기 상단 및 바닥 표면들 상에 하나 또는 그 초과의 휘발성 생성물들을 형성하기 위해, 불화 암모늄(NH4F) 또는 NH4F(HF)를 포함하는 프로세싱 가스에 상기 기판의 표면을 노출시키는 단계; 및
    상기 하나 또는 그 초과의 휘발성 생성물들을 승화시키기 위해, 상기 기판을 상기 제 1 온도 보다 더 높은 제 2 온도로 가열하는 단계를 포함하는,
    방법.
KR1020157010772A 2012-10-02 2013-09-17 플라즈마 사전-처리 및 고온 에천트 증착을 이용한 방향성 SiO₂에칭 KR102140955B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261709107P 2012-10-02 2012-10-02
US61/709,107 2012-10-02
US201361874783P 2013-09-06 2013-09-06
US61/874,783 2013-09-06
PCT/US2013/060195 WO2014055235A1 (en) 2012-10-02 2013-09-17 Directional sio2 etch using plasma pre-treatment and high-temperature etchant deposition

Publications (2)

Publication Number Publication Date
KR20150060920A KR20150060920A (ko) 2015-06-03
KR102140955B1 true KR102140955B1 (ko) 2020-08-04

Family

ID=50435322

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157010772A KR102140955B1 (ko) 2012-10-02 2013-09-17 플라즈마 사전-처리 및 고온 에천트 증착을 이용한 방향성 SiO₂에칭

Country Status (5)

Country Link
US (2) US9245769B2 (ko)
KR (1) KR102140955B1 (ko)
CN (1) CN104813450B (ko)
TW (1) TWI604528B (ko)
WO (1) WO2014055235A1 (ko)

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
TWI604528B (zh) * 2012-10-02 2017-11-01 應用材料股份有限公司 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN106158640A (zh) * 2015-04-03 2016-11-23 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置
US10290553B2 (en) * 2015-06-24 2019-05-14 Tokyo Electron Limited System and method of determining process completion of post heat treatment of a dry etch process
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11004661B2 (en) 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
US10256076B2 (en) 2015-10-22 2019-04-09 Applied Materials, Inc. Substrate processing apparatus and methods
JP6601257B2 (ja) * 2016-02-19 2019-11-06 東京エレクトロン株式会社 基板処理方法
US10032906B2 (en) 2016-04-29 2018-07-24 Samsung Electronics Co., Ltd. Vertical field effect transistor and method of fabricating the same
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) * 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
WO2018052475A1 (en) * 2016-09-16 2018-03-22 Applied Materials, Inc. Integrated system and method for source/drain engineering
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10141189B2 (en) * 2016-12-29 2018-11-27 Asm Ip Holding B.V. Methods for forming semiconductors by diffusion
US11396698B2 (en) 2017-01-07 2022-07-26 Applied Materials, Inc. ALD process for NiO film with tunable carbon content
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US20200052150A1 (en) * 2017-03-31 2020-02-13 Flosfia Inc. Processing apparatus and processing method
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10522549B2 (en) * 2018-02-17 2019-12-31 Varian Semiconductor Equipment Associates, Inc. Uniform gate dielectric for DRAM device
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110942987A (zh) * 2018-09-21 2020-03-31 长鑫存储技术有限公司 一种半导体结构的形成方法
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10692730B1 (en) * 2019-08-30 2020-06-23 Mattson Technology, Inc. Silicon oxide selective dry etch process
KR102360733B1 (ko) * 2019-11-19 2022-02-10 세메스 주식회사 기판 처리 방법 및 기판 처리 장치
JP7415594B2 (ja) * 2020-01-24 2024-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US11171012B1 (en) * 2020-05-27 2021-11-09 Tokyo Electron Limited Method and apparatus for formation of protective sidewall layer for bow reduction
CN115433898A (zh) * 2022-09-29 2022-12-06 华虹半导体(无锡)有限公司 有效替代金属硅化物工艺机台的Degas腔的方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100440806B1 (ko) 1997-10-29 2004-09-18 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의제조방법및드라이에칭장치의반응실환경제어방법
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US20090275205A1 (en) 2008-05-02 2009-11-05 Micron Technology, Inc. Methods of removing silicon oxide and gaseous mixtures for achieving same

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001284307A (ja) * 2000-03-29 2001-10-12 Ftl:Kk 半導体の表面処理方法
JP2002100574A (ja) * 2000-09-25 2002-04-05 Hitachi Kokusai Electric Inc 基板処理装置
US6872323B1 (en) * 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
KR20060133606A (ko) * 2005-06-21 2006-12-27 삼성전자주식회사 콘택홀 세정방법 및 이를 이용한 반도체 소자의 제조방법
CN100444351C (zh) * 2005-10-20 2008-12-17 Bcd半导体制造有限公司 一种集成电路双极电路中接触孔的制造工艺
US7416989B1 (en) * 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
JP2008088529A (ja) * 2006-10-04 2008-04-17 Ulvac Japan Ltd 膜形成方法および膜形成装置
CN101740338B (zh) * 2008-11-24 2012-07-18 中芯国际集成电路制造(北京)有限公司 薄膜去除方法
TWI604528B (zh) * 2012-10-02 2017-11-01 應用材料股份有限公司 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻
US9177780B2 (en) * 2012-10-02 2015-11-03 Applied Materials, Inc. Directional SiO2 etch using plasma pre-treatment and high-temperature etchant deposition
US8980761B2 (en) * 2012-10-03 2015-03-17 Applied Materials, Inc. Directional SIO2 etch using low-temperature etchant deposition and plasma post-treatment
TWI591712B (zh) * 2012-10-03 2017-07-11 應用材料股份有限公司 使用低溫蝕刻劑沉積與電漿後處理的方向性二氧化矽蝕刻

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100440806B1 (ko) 1997-10-29 2004-09-18 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의제조방법및드라이에칭장치의반응실환경제어방법
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US20090275205A1 (en) 2008-05-02 2009-11-05 Micron Technology, Inc. Methods of removing silicon oxide and gaseous mixtures for achieving same

Also Published As

Publication number Publication date
CN104813450B (zh) 2018-08-14
CN104813450A (zh) 2015-07-29
TWI604528B (zh) 2017-11-01
TW201417183A (zh) 2014-05-01
KR20150060920A (ko) 2015-06-03
US20160247689A1 (en) 2016-08-25
US9245769B2 (en) 2016-01-26
US9653318B2 (en) 2017-05-16
WO2014055235A1 (en) 2014-04-10
US20150072508A1 (en) 2015-03-12

Similar Documents

Publication Publication Date Title
KR102140955B1 (ko) 플라즈마 사전-처리 및 고온 에천트 증착을 이용한 방향성 SiO₂에칭
KR102234458B1 (ko) 저온 에천트 증착 및 플라즈마 사후-처리를 이용한 방향성 SiO₂에칭
US9177780B2 (en) Directional SiO2 etch using plasma pre-treatment and high-temperature etchant deposition
US10428441B2 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
JP5518239B2 (ja) トレンチ及びビアの断面形状を変形させる方法及び装置
US9768013B2 (en) Apparatus and method for selective deposition
US9805914B2 (en) Methods for removing contamination from surfaces in substrate processing systems
KR101974715B1 (ko) 산화막 제거 방법 및 제거 장치, 및 콘택 형성 방법 및 콘택 형성 시스템
KR20160082476A (ko) 게르마늄 산화물 전-세정 모듈 및 프로세스
KR20200043527A (ko) 처리 장치 및 기판 처리 장치
TWI715650B (zh) 基板處理設備及方法
KR102441239B1 (ko) 에칭 방법
KR102244356B1 (ko) 기판 처리 방법
JP2017152531A (ja) 基板処理方法
JP2012519962A (ja) 界面汚染を低減した層を堆積させる方法
US8980761B2 (en) Directional SIO2 etch using low-temperature etchant deposition and plasma post-treatment
TW201907473A (zh) 蝕刻方法
Or et al. Directional SiO 2 etch using plasma pre-treatment and high-temperature etchant deposition
US20240186147A1 (en) Surface treatment method, dry etching method, cleaning method, semiconductor device manufacturing method, and etching device
JP2023026624A (ja) 基板処理システム
Wang et al. Low-temperature pre-treatments in a vertical epitaxial reactor with an improved vacuum load-lock chamber

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant