TW201417183A - 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻 - Google Patents

使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻 Download PDF

Info

Publication number
TW201417183A
TW201417183A TW102133544A TW102133544A TW201417183A TW 201417183 A TW201417183 A TW 201417183A TW 102133544 A TW102133544 A TW 102133544A TW 102133544 A TW102133544 A TW 102133544A TW 201417183 A TW201417183 A TW 201417183A
Authority
TW
Taiwan
Prior art keywords
substrate
temperature
plasma
exposed surface
features
Prior art date
Application number
TW102133544A
Other languages
English (en)
Other versions
TWI604528B (zh
Inventor
David T Or
Joshua Collins
Mei Chang
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201417183A publication Critical patent/TW201417183A/zh
Application granted granted Critical
Publication of TWI604528B publication Critical patent/TWI604528B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/326Application of electric currents or fields, e.g. for electroforming

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma & Fusion (AREA)

Abstract

本文描述用於處理基板的多種方法。該等方法可包括將具有包含氧化矽層之曝露表面的基板定位於處理腔室中,偏壓基板,處理基板使氧化矽層之一部分變粗糙,加熱基板至第一溫度,將基板之曝露表面曝露於氟化銨,以在保持第一溫度的同時形成一或更多揮發性產物,及加熱基板至高於第一溫度的第二溫度以昇華該等揮發性產物。

Description

使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻
本文所描述的技術係關於原生氧化物之方向性蝕刻。更特定言之,本文所描述之技術係關於預處理氧化物表面,以有選擇地蝕刻氧化物表面。
隨著電晶體密度的增加及隨後的裝置節點橫截面尺寸的減少(該等尺寸可小於22奈米),原生氧化物的預清潔尤其重要。預清潔可包括預接觸清潔或預矽化物清潔,預矽化物清潔要求從狹窄橫截面尺寸的通孔或溝道之底部移除氧化物。隨著半導體裝置之臨界尺寸減少,在半導體基板上形成的鄰近特徵之間的距離亦縮短。因此,在預清潔期間控制通孔與溝道之間的蝕刻以防止損害鄰近特徵很重要。
當前的預清潔技術大體包括在矽化物或其他接觸之沉積之前等形蝕刻基板以移除原生氧化物,諸如二氧化矽。然而,標準的等形蝕刻可能導致通孔及溝道的橫截面過度增 大,因此可能形成滲漏且最終導致裝置故障。其他諸如濺鍍蝕刻之預清潔技術從溝道或接觸底表面移除原生氧化物。然而,濺鍍製程亦可導致在通孔或溝道開口處的場氧化物再沉積。再沉積之氧化物在通孔及溝道開口形成懸垂物導致隨後的不良接觸填充。
因此,需要優先蝕刻特徵之底表面以防止在清潔期間損壞特徵的方法。
本文所描述的實施例一般而言係關於原生矽氧化物的選擇性蝕刻。在一個實施例中,一種方法可包括以下步驟:將基板定位於處理腔室中,基板包含曝露表面、形成於曝露表面中之一或更多特徵(特徵包含底表面)及形成於曝露表面上的氧化層;偏壓基板;將基板曝露於低能惰性電漿中,以在曝露表面及該等特徵的底表面上有選擇地形成物理或化學活化物質;加熱基板至第一溫度;將基板曝露於包含氟化銨(NH4F)或NH4F(HF)之處理氣體中,以在曝露表面及該等特徵的底表面上形成一或更多揮發性產物;及加熱該基板至高於第一溫度之第二溫度,以昇華一或更多揮發性產物。
在另一實施例中,一種方法可包括以下步驟:將含矽基板定位於處理腔室中,該含矽基板包括曝露表面、在曝露表面中形成的一或更多特徵及在曝露表面上形成的表面污染物層;將該基板冷卻至第一溫度;在第一溫度下將基板之曝露表面曝露於氟化銨(NH4F)、(NH4F)HF或以上兩者之組合;偏壓基板;將基板曝露於低能惰性電漿中,以有選擇地 在曝露表面及該等特徵之底表面上形成一或更多揮發性產物;在第二溫度下將基板曝露於低壓中,其中從基板之曝露表面昇華非反應之NH4F;及加熱該基板至高於第一及第二溫度之第三溫度,以昇華一或更多揮發性產物。
在另一實施例中,一種方法可包括以下步驟:將半導體基板定位於處理腔室中,半導體基板可以包括曝露表面、在該曝露表面中形成的一或更多特徵及在曝露表面上形成的氧化層;偏壓基板;執行污染物移除製程,該製程可包括以下步驟:將基板曝露於低能惰性電漿中,以在該等特徵之頂表面及底表面上有選擇地形成物理或化學活化物質,加熱基板至第一溫度,將基板之表面曝露於包含氟化銨(NH4F)或NH4F(HF)之處理氣體中,以在該等特徵之頂表面及底表面上形成一或更多揮發性產物,及加熱該基板至高於第一溫度之第二溫度,以昇華一或更多揮發性產物;及將污染物移除製程重複一或更多次。
100‧‧‧處理腔室
200‧‧‧多腔室處理系統
202‧‧‧負載鎖腔室
204‧‧‧負載鎖腔室
210‧‧‧第一機器人
212‧‧‧基板處理腔室
214‧‧‧基板處理腔室
216‧‧‧基板處理腔室
222‧‧‧移送室
224‧‧‧移送室
230‧‧‧第二機器人
232‧‧‧基板處理腔室
234‧‧‧基板處理腔室
236‧‧‧基板處理腔室
238‧‧‧基板處理腔室
250‧‧‧空位置
300‧‧‧方法
302‧‧‧步驟
304‧‧‧步驟
306‧‧‧步驟
308‧‧‧步驟
310‧‧‧步驟
312‧‧‧步驟
314‧‧‧步驟
316‧‧‧步驟
350‧‧‧圖
500‧‧‧基板
502‧‧‧上表面
503‧‧‧表面氧化物層
506‧‧‧側壁表面
508‧‧‧通孔
510‧‧‧低能惰性電漿
512‧‧‧頂部側壁
514‧‧‧曝露清潔表面
因此,以可詳細瞭解上文所述的本發明之特徵的方式,以上簡短總結的本發明之更詳細的描述可參閱實施例而獲得,該等實施例中之一部分實施例在附加圖式中圖示。
然而,應注意,附加圖式僅圖示本發明之典型實施例,且因此不應視為限制本發明之範疇,因為本發明承認其他同等有效之實施例。
第1圖為結合本文所描述之實施例使用的說明性多腔室處理系統之俯視示意圖。
第2圖為根據一個實施例之用於方向性蝕刻的方法之圖。
第3圖圖示根據一個實施例之矽氧化物的蝕刻速度隨基座溫度而變化。
第4A圖至第4C圖為根據一或更多實施例蝕刻之基板的圖解表示。
第5A圖及第5B圖圖示如本文所描述之定向蝕刻基板之穿透式電子顯微鏡(transmission electron microscope,TEM)圖。
在可能情況下相同元件符號用於指定圖式中共有之相同元件以便於理解。應預期到,一個實施例中所揭示之元件可有益地用於其他實施例而無需贅述。
本文描述了用於移除原生氧化物的方法。在半導體裝置中,通孔及溝道中表面的預清潔可導致側壁的蝕刻及實心壁橫截面尺寸的後續縮小,該等實心壁把待清潔的溝道或通孔與鄰近的特徵分開。橫截面尺寸的該縮小可導致裝置故障。本文所描述之實施例在保留通孔或溝道之橫截面尺寸的同時,允許對表面進行方向性蝕刻以從通孔及溝道之底部移除原生氧化物。藉由在高溫下,原生氧化物表面在等形曝露於蝕刻劑之前使用方向性預處理,在未處理表面由於平衡吸收/解吸收經正常蝕刻的同時,受影響的表面準備好進行優先蝕刻。參考以下圖式更充分地說明本發明。
第1圖為說明性多腔室處理系統200之俯視示意 圖,系統200可經調適以執行如本文所揭示之製程,系統200具有耦接於系統200上的一或更多處理腔室,諸如處理腔室100。系統200可包括一或更多負載鎖腔室202、204,負載鎖腔室202、204用於移送基板進入系統200及將基板從系統200移出。通常,因為系統200在真空下,負載鎖腔室202、204可「泵送」基板引入系統200。第一機器人210可在負載鎖腔室202、204與第一組一或更多基板處理腔室212、214、216及100(已圖示四個腔室)之間移送基板。處理腔室100及216可為除氣腔室以用於預加熱且除去進入基板之濕氣。處理腔室212及214可為預清潔腔室。用於執行預清潔製程的處理腔室212及214相對於其他腔室之位置係用於說明,且若有需要,則處理腔室212及214之位置可視需要與處理腔室212、214、216或100中之任一者交換。另外,若有需要,則該等腔室中之一或更多可定位於空位置250處。
第一機器人210亦可將基板移送至一或更多移送室222、224/將基板從一或更多移送室222、224移出。移送室222、224可用於在允許在系統200內部移送基板的同時維持超高真空條件。第二機器人230可在移送室222、224與第二組一或更多處理腔室232、234、236、238之間移送基板。與處理腔室212、214、216、100相類似,處理腔室232、234、236、238可經配備以執行多種基板處理操作。
處理腔室212或214可經配置以在預接觸層或金屬矽化物層(諸如來自安置在基板上的特徵之底部的原生氧化物)在基板上形成之前,將原生氧化物或其他污染物從基板 之表面移除。處理腔室212或214可特定用於執行電漿輔助乾式蝕刻製程(亦即「預清潔製程」)。處理腔室212或214可為Preclean PCII、PCXT或購自加利福尼亞州聖克拉拉市應用材料公司的蝕刻腔室。應注意,購自其他製造商的其他腔室亦可用以實行本發明。
在處理腔室212或214中執行預清潔製程後,可將基板進一步移送至安置在系統200中之處理腔室100、212、214、216、232、234、236、238中之任一腔室,諸如SiConi腔室或購自加利福尼亞州聖克拉拉市應用材料公司的蝕刻腔室,以執行該製程的第二步驟。
第2圖為根據一個實施例之用於方向性蝕刻的方法之圖。方法300可包括如320中所述將具有表面污染物之基板定位於處理腔室中。處理腔室可為如參考第1圖所描述之處理腔室或可為不同處理腔室。處理腔室應至少能夠維持基板在一特定溫度,偏壓基板且形成NH4F(例如在電漿中形成NH4F)。基板可為矽基板,該矽基板表面上形成有特徵。該等特徵可包括具有諸如小於22奈米之可變橫截面尺寸之一或更多通孔及溝道。原生氧化物可形成於基板之一或更多表面上,諸如二氧化矽形成於全部曝露表面上。原生氧化物之厚度亦可依原生氧化物之形成環境變化,諸如曝露於大氣。
方法300可進一步包括如304中所述用低能直接電漿處理基板。直接電漿可包含任何惰性氣體。惰性氣體包括諸如氦氣或氬氣之稀有氣體。惰性氣體形成為充分低能之電漿,以致不可濺鍍基板。
直接電漿包括在晶圓表面處之偏壓,該偏壓可歸因於自偏壓、直接施加於基板晶圓支撐基座的RF偏壓能或二者之組合。基板上之偏壓可為任何功率,但較佳功率應低於基板濺鍍臨限值。基板濺鍍臨限值可小於150V,諸如小於75V。偏壓可以不同頻率傳遞,諸如2Mhz偏壓、13.56Mhz之偏壓、60Mhz之偏壓或以上各者之組合。施加於基板之偏壓吸引電漿中之離子化氣體朝向基板,其中離子化氣體撞擊垂直於離子化氣體運動方向之表面,諸如基板上之通孔或溝道的底部。離子化氣體因此藉由包括機械粗糙化、在表面處形成懸空結合、改變表面密度或形成非晶形之表面之多種機制改變表面。此等表面變化為表面做好隨後方向性蝕刻之準備。
方法300可進一步包括如306中所述加熱基板至第一溫度。第一溫度可為從65℃至100℃之溫度,諸如從70℃至100℃之溫度。在一或更多實施例中,可藉由在基板支撐構件內部形成之加熱器將基板加熱至65℃以上之溫度,諸如在65℃與110℃之間之溫度。在一個實施例中,將基板維持在70℃。在另一實施例中,將基板維持在70℃與110℃之間的溫度下。
方法300可進一步包括如308中所述之形成氟化銨(NH4F)以蝕刻基板。可將NH4F用於用於從晶圓表面移除矽氧化物、SiN或其他材料之乾式蝕刻製程。NH4F可由氨(NH3)及三氟化氮(NF3)之氣體混合物在處理腔室內部原位形成。使用NH4F蝕刻SiO2一般而言是在加熱的基板上完成的。可將基板加熱至高於NH4F之露點的溫度。該露點將視 諸如處理腔室壓力之製程條件而定。將蝕刻氣體混合物引入腔室,以用於移除基板表面上之二氧化矽。在一個實施例中,氨及三氟化氮氣體隨後被引入電漿腔室以形成蝕刻氣體混合物。引入電漿腔室的氣體量可變且可調節,以適應例如待移除之氧化層的厚度、待清潔之基板的幾何形狀、電漿之體積容量、腔室之體積容量以及耦接至該腔室之真空系統的容量。可預定蝕刻氣體混合物之比例以移除基板表面上的多種氧化物。蝕刻氣體混合物中氣體混合物之比例可經調節以較佳地移除預處理氧化物,諸如在該等特徵之頂表面及底表面上形成的原生氧化物。在一個實施例中,蝕刻氣體混合物中氨和三氟化氮之莫耳比可經設定以均勻地移除矽氧化物。
在一個實施例中,在將氨及三氟化氮之莫耳比維持在預定值以上的同時,可藉由調整三氟化氮之流動速率調整蝕刻氣體混合物之蝕刻速度。在一個實施例中,在氨及三氟化氮之比例維持在約3:1以上的同時,藉由提高或降低三氟化氮之流動速率可提高或減慢蝕刻速度。在另一實施例中,氨及三氟化氮之比例可約為1:1。
氨及三氟化氮氣體可在遠端電漿腔室中被解離為反應物質。解離物質可在氣相化合形成高反應性之氟化銨(NH4F)化合物及/或氟化氫銨(NH4FHF)。此等分子與待處理之基板表面反應。在一個實施例中,首先將惰性載氣引入電漿腔室,由此生成載氣之電漿,且隨後將氨及三氟化氮之反應性氣體加入電漿。
據信,在不受理論約束之情況下,蝕刻劑氣體NH4F 及/或NH4FHF與矽氧化物表面反應以形成產物六氟矽酸銨(NH4)2SiF6、NH3及H2O。NH3及H2O在處理條件下為蒸汽,且可藉由真空泵將NH3及H2O從腔室中移除。(NH4)2SiF6之薄膜可留在基板表面上。可將此反應機制概述如下:NF3+3NH3 → NH4F+NH4FHF+N2
6NH4F+SiO2 → (NH4)2SiF6+2H2O+4NH3
(NH4)2SiF6+熱→2NH3+2HF+SiF4
產物反應後,未反應之NH4F可在低壓下昇華且從腔室移除以便不影響進一步的處理。
方法300可進一步包括如312中所述藉由將基板加熱至第二溫度以昇華揮發性副產物來移除(NH4)2SiF6。在基板表面上形成薄膜後,可將支撐構件提升至非常接近加熱氣體分配板之退火位置。自氣體分配板之輻射熱可將(NH4)2SiF6之薄膜解離或昇華為揮發性之SiF4、NH3及HF產物。隨後藉由如上所述之真空泵將此等揮發性產物從該腔室移除。通常,使用75℃或更高之溫度以有效昇華基板上之薄膜且移除該薄膜。較佳地,使用100℃或更高之溫度,諸如在約115℃與約200℃之間之溫度。固體(NH4)2SiF6之昇華亦可藉由增加用於支撐晶圓的晶圓晶座的溫度進而加熱晶圓來完成。加熱晶圓可在同一處理腔室或另一腔室中完成(若將加熱及昇華步驟移至他處可使加熱晶圓更有效率)。
方法300可進一步包括如314中所述使惰性氣體流動以將揮發性副產物從腔室中排出。用於將(NH4)2SiF6薄膜解離為(NH4)2SiF6之揮發性組份的熱能藉由氣體分配板經由對 流或輻射傳遞。在一個態樣中,將分佈板加熱至100℃與150℃之間的溫度,諸如約120℃。其他實施例使用低能電漿(諸如,參考預處理製程所述之電漿),以增強揮發性副產物的昇華。電漿被均勻地遞送至基板的表面,且該電漿之能級不會濺鍍基板之氧化物。據信,在加熱該基板的同時,可藉由使用低能電漿而減少用於昇華之活化能。舉例而言,(NH4)2SiF6層可具有特定厚度,此厚度之(NH4)2SiF6層要求溫度達120℃並超過特定之時段才可昇華。藉由使用低能電漿,(NH4)2SiF6層可在同一時段100℃溫度下昇華或在較短時段120℃溫度下昇華。
如316中所述,一旦從基板移除薄膜,該製程可結束。淨化且排空處理腔室。隨後藉由下放基板構件至移送位置將已處理基板從腔室中移除,鬆開基板,且將通過流量閥開口移送基板。
在不受理論約束之情況下,據信,在高溫及NH4F或NH4F(HF)之低分壓條件下,如304中所述,在沒有電漿活化的SiO2之化學蝕刻速度可達標稱速度(例如約為零),因為可將NH4F蝕刻劑的分壓維持在NH4F凝聚(晶圓在NH4F露點溫度以上)所需之分壓下。在標準NH4F的蝕刻製程期間,基板將維持在小於40℃之溫度下,諸如在25℃與40℃之間之溫度。在此溫度範圍之間,NH4F與基板上之氧化層之間的反應被認為是反應受限,使得較高含量之反應物將導致氧化層之增加及均勻蝕刻。當晶圓的溫度升高至NH4F的露點溫度以上時,晶圓之吸收速率更接近於如304所述未曝露於電 漿活化的基板表面(諸如溝道及通孔側壁)上的NH4F之吸收速率。因此,通常不蝕刻溝道及通孔側壁及垂直表面。然而,如304中所描述,已使用惰性電漿預處理的半導體裝置上的表面被活化,且該表面展示NH4F或NH4F(HF)之增強吸收。NH4F被吸收至此等電漿活化表面上,且達成基於NH4F之矽氧化物之蝕刻。因而,在從65℃至100℃之溫度下,諸如70℃至100℃,並未蝕刻在通孔及溝道之側壁中之矽氧化物,而蝕刻在活化區域,諸如基板之上表面及在溝道及通孔之底部的矽氧化物。
藉由上述方法描述之蝕刻製程亦能夠相對於SiN、Si及金屬矽化物有選擇的蝕刻矽氧化物。NH4F蝕刻矽氧化物而實質上不蝕刻諸如氮化矽或金屬矽化物之層。在SiO2與SiN之間的選擇比大於5:1,且在一些實例中兩者之選擇比大於9:1。SiO2對Si之選擇比至少大於5:1。因此,上述方法在蝕刻矽氧化物中提供選擇性及方向性兩者。亦可以此方式蝕刻諸如GeO2之其他氧化物。
亦有可能藉由電漿活化及NH4F或NH4F(HF)曝露之組合來蝕刻一些金屬氧化物。舉例而言,矽化鎳(NiSi)可在矽化鎳之表面形成氧化鎳及矽氧化物之混合物。在此方法中所使用的電漿活化可藉由物理轟擊(濺鍍)及增強化學反應兩者以促進表面之NiO的化學移除。可以同樣之方式清潔其他金屬氧化物及矽化物。
以上描述之方法亦可應用於其他半導體材料,諸如SiGe。曝露於空氣的SiGe或Ge快速形成吸收含碳物質的表 面層,該含碳物質大體降級NH4F(HF)之蝕刻。表面之電漿活化已顯示移除表面碳及增強隨後藉由NH4F(HF)之表面蝕刻。有許多其他材料之實例適合用於參考第2圖所描述之該方法。此等實例意欲說明清潔應用之廣泛類型,而非意欲作為限制。
第3圖圖示根據一個實施例之矽氧化物的蝕刻速度隨著基座溫度而變化的圖形350。將表面上形成有矽氧化物層之矽基板定位於處理腔室中,且在各溫度水準下處理該矽基板。將基板定位於基板支撐上且接收100W之RF偏壓,最佳偏壓在25W與200W之間。將低能(例如在100W之RF功率下形成之氬或氦電漿)惰性電漿遞送至偏壓基板,以僅預處理基板之水平區域。惰性電漿代表不與SiO2化學反應之電漿,諸如Ar、He、H2、N2或以上各者之組合。
隨後將預處理基板曝露於NH4F蝕刻劑,該蝕刻劑在15℃與70℃之間的晶圓支撐溫度下在處理腔室之遠端生成。蝕刻速度經測量且如圖中所示與氧化蝕刻速度埃/秒攝氏溫度繪出。在15℃與30℃溫度之間,處理區域及未處理區域之蝕刻速度顯示為無差別。從30℃至約62℃,處理之表面以較高的速度蝕刻。然而,處理及未處理之表面兩者在蝕刻速度上顯示為線性下降。據信,在30℃以上蝕刻速度之減慢與在(NH4)2SiF6形成之前基板之表面的NH4F之解吸收的增加有關。據信,由於預處理步驟中表面變化,在預處理表面上之蝕刻速度較快。在高於62℃之溫度下,未處理表面上之蝕刻速度實質低於在先前溫度未處理表面的蝕刻速度或低於已處 理表面之蝕刻速度。據信,在此溫度或此溫度以上,吸收速度等於解吸收速度。因此,最少量的(NH4)2SiF6形成於未處理表面上。預處理表面之蝕刻速度繼續顯示為線性下降,但處理表面之蝕刻速度實質上高於未處理表面之蝕刻速度。第3圖所示之用於增強SiO2蝕刻速度之特定溫範圍係基於特定NH4F分壓及相關之NH4F露點溫度。使用較高NH4F分壓之製程可以使彼等蝕刻速度轉移到比第3圖所示之更高的溫度中。在基板溫度在110℃或以上時,有可能在電漿處理表面與非電漿處理表面之間達成差分蝕刻速度。
第4A圖至第4C圖為根據一或更多實施例蝕刻之基板500的圖解表示。第4A圖圖示根據一個實施例具有表面氧化物層503之基板500。基板500可為含矽基板,諸如晶態矽基板。基板500具有上表面502。上表面502具有形成於上表面502上之表面氧化物層503,諸如在含矽基板上形成之二氧化矽層。表面氧化物層503可為在腔室之間移送之結果(亦即,曝露於大氣中)。基板500可進一步具有形成於基板中的通孔及溝道,諸如通孔508。表面氧化物層503可為特徵之側壁表面506。基板500如上所述可定位於處理腔室中。
第4B圖圖示根據一個實施例在電漿預處理期間之基板500。如參考以上參考實施例描述,使用低能惰性電漿510處理基板500。電漿510在不改變側壁表面506的情況下可改變頂表面及底表面512。基板500中之偏壓為低能惰性電漿提供方向性。遞送至基板之偏壓可在20W與200W之間。表面之電漿處理亦可依賴電容性耦合電漿或電感耦合電漿中 晶圓之自偏壓達成。此外,偏壓電漿可在從350KHz至60MHz之RF頻率下運行。偏壓電漿可為脈衝式的或連續的以進一步調整待遞送至晶圓的離子劑量強度。
第4C圖圖示根據一個實施例使用NH4F蝕刻劑蝕刻後的基板500。將基板500加熱至第二溫度,諸如高於62℃之溫度。隨後使用之前形成的NH4F蝕刻劑處理基板500。NH4F蝕刻劑優先吸收至水平(預處理)表面512,很少一部分吸收至垂直(未處理)表面506。在水平表面512上及自水平表面512形成(NH4)2SiF6薄膜後,基板經退火以昇華(NH4)2SiF6薄膜,因此曝露清潔表面514。垂直表面506之厚度及組份實質上未改變。
據信,在NH4F電漿處理期間,施加至基板500之高溫藉由增強昇華進一步協助蝕刻。因為基板所維持的溫度很接近鹹信(NH4)2SiF6薄膜昇華之溫度,預期薄膜會在該薄膜在預處理表面上形成時部分昇華。所以,將更多表面曝露於NH4F蝕刻劑的同時需要較少之退火。
本發明所俘獲之一個替代實施係在NH4F/NH4F(HF)曝露製程期間,將晶圓維持在(NH4)2SiF6昇華溫度之上。以此方式,可在化學蝕刻副產物形成的同時移除該化學蝕刻副產物,此舉可減少或除去最終基板所需的退火步驟,且可顯著地增加晶圓處理產量。
第5A圖及第5B圖圖示在一個實施例中所揭示之預清潔二氧化矽層的透射電子顯微鏡圖像。第5A圖圖示矽基板,該矽基板中形成有兩條溝道。厚度約為230Å的二氧化矽 等形層安置於矽基板之表面上。第5B圖圖示藉由本文所述的本發明之一個實施例執行之方向性蝕刻後的相同結構。在氬氣的100mTorr之壓力下使用低能惰性電漿預處理矽基板。選擇電漿之條件以避免濺鍍二氧化矽層。在電漿處理期間基板在13.56Mhz下接收50W的偏壓,且在10秒鐘時間內遞送電漿。惰性電漿處理後,基板曝露於在遠端電漿源中形成之NH4F。在使用NH4F處理期間,將基板維持在75℃之溫度下。(NH4)2SiF6薄膜在預處理表面上形成,且在120℃下的後處理退火期間,(NH4)2SiF6薄膜從基板之表面昇華。
第5B圖圖示溝道結構之頂部及底部氧化物厚底之實質減少,但側壁氧化層之厚度幾乎沒有變化。底部蝕刻量為91Å,該底部蝕刻量與頂表面稍微較高的蝕刻量106Å密切相關。從頂部側壁之5Å開始蝕刻側壁至中央側壁之0Å。決定之蝕刻速度顯示在頂表面及底表面之蝕刻比側壁表面之蝕刻至少以10:1之比例優先。
結論
本文所描述之實施例係關於方向性移除原生氧化物形成之表面的方法。以上實施例顯示預處理表面比未處理表面優先蝕刻。SiO2可以多種方式在矽、SiGe、SiC及多種金屬矽化物表面上形成,且必須移除該SiO2以獲得通孔及溝道中之適當沉積。然而,重要的是避免現代通孔及溝道之橫截面尺寸改變,該改變可導致裝置故障。藉由使用低能惰性電漿預處理偏壓基板,可實體修改水平表面或藉由改變類型或改變可利用表面位點之接合能來修改該水平表面。因此,藉由 NH4F電漿在高溫下進行的化學蝕刻將在不影響通孔或溝道之側壁上的未處理表面之情況下,有效地移除預處理表面。
儘管上述內容針對本發明之實施例,在不脫離本發明之基本範疇的情況下,可設計本發明之其他及另外之實施例,且本發明之範疇由以下申請專利範圍之範疇判定。
500‧‧‧基板
502‧‧‧上表面
503‧‧‧表面氧化物層
506‧‧‧側壁表面
508‧‧‧通孔
510‧‧‧低能惰性電漿
512‧‧‧頂部側壁
514‧‧‧曝露清潔表面

Claims (20)

  1. 一種方法,該方法包含以下步驟:將一基板定位於一處理腔室內,該基板包含:一曝露表面,具有在該曝露表面中形成的一或更多特徵,該等特徵包含一底表面;以及一氧化層,該氧化層形成於該曝露表面上;偏壓該基板;將該基板曝露於一低能惰性電漿中,以在該曝露表面及該等特徵的底表面上有選擇地形成物理或化學活化物質;加熱該基板至一第一溫度;將該基板曝露於包含氟化銨(NH4F)或NH4F(HF)之一處理氣體中,以在該曝露表面及該等特徵的底表面上形成一或更多揮發性產物;以及加熱該基板至高於該第一溫度之一第二溫度,,以昇華該一或更多揮發性產物。
  2. 如請求項1所述之方法,其中該第一溫度及該第二溫度彼此溫差在10℃之內。
  3. 如請求項1所述之方法,其中該第一溫度至少為65℃之一溫度。
  4. 如請求項1所述之方法,其中該第一溫度為在70℃與90℃之間的一溫度。
  5. 如請求項1所述之方法,其中該第二溫度為高於100℃的一溫度。
  6. 如請求項1所述之方法,其中該第一溫度及第二溫度兩者都高於100℃,且其中蝕刻副產物的蝕刻及昇華同時發生。
  7. 如請求項1所述之方法,其中加熱該該基板至一第二溫度之步驟進一步包含將該基板之該曝露表面曝露於一低能惰性電漿中。
  8. 如請求項1所述之方法,其中一電漿由該處理氣體形成,且其中遠端形成該電漿。
  9. 如請求項8所述之方法,其中包含氟化銨之該電漿為一非濺鍍電漿。
  10. 如請求項1所述之方法,其中該等處理氣體為包含氨(NH3)及三氟化氮(NF3)之一氣體混合物。
  11. 如請求項10所述之方法,其中該氣體混合物係由氨(NH3)及三氟化氮(NF3)分別以1:1或更高的比例混合而成。
  12. 如請求項11所述之方法,其中NH3與NF3之該比例為5:1或更高。
  13. 如請求項1所述之方法,其中NH4F係在一電漿中形成,該電漿由包含NH3、NF3、HF、F2、H2、He、Ar或以上各者之組合的一形成氣體在該處理腔室之遠端形成。
  14. 如請求項1所述之方法,其中NH4F在一電漿中形成,該電漿由包含NH3、NF3、HF、F2、H2、He、Ar或以上各者之組合的一形成氣體在該處理腔室之處理區域中形成。
  15. 如請求項1所述之方法,該方法進一步包含以下步驟:在將該基板曝露於該處理氣體期間,將該基板維持在一第一溫度;移動該基板至一第二腔室;以及昇華一或更多蝕刻副產物。
  16. 如請求項1所述之方法,其中將以下基板步驟重複一或更多次:將該基板曝露於一惰性電漿,加熱該基板至一第一溫度,將該基板曝露於NH4F、NH4F(HF)或兩者之組合,及加熱該基板至一第二溫度。
  17. 一種方法,該方法包含以下步驟:將一含矽基板定位於一處理腔室中,該含矽基板包含: 一曝露表面;一或更多特徵,該等特徵形成於該曝露表面中;以及一表面污染物層,該表面污染物層形成於該曝露表面上;將該基板冷卻至一第一溫度;在該第一溫度下將該基板之該曝露表面曝露於氟化銨(NH4F)、(NH4F)HF、HF或以上各者之組合。 偏壓該基板;將該基板曝露於一低能惰性電漿中,以在該曝露表面及該等特徵的底表面上有選擇地形成一或更多揮發性產物;在一第二溫度下將該基板曝露於低壓中,其中從該基板之該曝露表面昇華一非反應的NH4F;以及加熱該基板至高於該第一及第二溫度之一第三溫度,以昇華該一或更多揮發性產物。
  18. 如請求項17所述之方法,其中將該曝露表面曝露於包含氨(NH3)及三氟化氮(NF3)之一氣體混合物中,且其中該氣體混合物係由氨(NH3)及三氟化氮(NF3)分別以1:1或更高的比例混合而成。
  19. 如請求項17所述之方法,其中NH4F係在一電漿中形成,該電漿由包含NH3、NF3、HF、F2、H2、He、Ar或以上各者之組合的一形成氣體在該處理腔室之遠端形成。
  20. 一種方法,該方法包含以下步驟:將一半導體基板定位於一處理腔室內,該半導體基板包含:一曝露表面;一或更多特徵,該等特徵形成於該曝露表面上;以及一氧化層,該氧化層形成於該曝露表面上;偏壓該基板;執行一污染物移除製程,該製程包含以下步驟:將該基板曝露於一低能惰性電漿中,以在該等特徵之該頂表面及底表面上有選擇地形成物理或化學活化物質;加熱該基板至一第一溫度;將該基板之該表面曝露於包含氟化銨(NH4F)或NH4F(HF)之一處理氣體中,以在該等特徵的頂表面及底表面上形成一或更多揮發性產物;及加熱該基板至高於該第一溫度之一第二溫度,以昇華該一或更多的揮發性產物;以及將該污染物移除製程重複一或更多次。
TW102133544A 2012-10-02 2013-09-16 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻 TWI604528B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261709107P 2012-10-02 2012-10-02
US201361874783P 2013-09-06 2013-09-06

Publications (2)

Publication Number Publication Date
TW201417183A true TW201417183A (zh) 2014-05-01
TWI604528B TWI604528B (zh) 2017-11-01

Family

ID=50435322

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102133544A TWI604528B (zh) 2012-10-02 2013-09-16 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻

Country Status (5)

Country Link
US (2) US9245769B2 (zh)
KR (1) KR102140955B1 (zh)
CN (1) CN104813450B (zh)
TW (1) TWI604528B (zh)
WO (1) WO2014055235A1 (zh)

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
TWI604528B (zh) * 2012-10-02 2017-11-01 應用材料股份有限公司 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN106158640A (zh) * 2015-04-03 2016-11-23 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置
US10290553B2 (en) * 2015-06-24 2019-05-14 Tokyo Electron Limited System and method of determining process completion of post heat treatment of a dry etch process
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11004661B2 (en) * 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
US10256076B2 (en) 2015-10-22 2019-04-09 Applied Materials, Inc. Substrate processing apparatus and methods
JP6601257B2 (ja) 2016-02-19 2019-11-06 東京エレクトロン株式会社 基板処理方法
US10032906B2 (en) 2016-04-29 2018-07-24 Samsung Electronics Co., Ltd. Vertical field effect transistor and method of fabricating the same
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) * 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
WO2018052475A1 (en) * 2016-09-16 2018-03-22 Applied Materials, Inc. Integrated system and method for source/drain engineering
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10141189B2 (en) * 2016-12-29 2018-11-27 Asm Ip Holding B.V. Methods for forming semiconductors by diffusion
US11396698B2 (en) 2017-01-07 2022-07-26 Applied Materials, Inc. ALD process for NiO film with tunable carbon content
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US20200052150A1 (en) * 2017-03-31 2020-02-13 Flosfia Inc. Processing apparatus and processing method
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10522549B2 (en) * 2018-02-17 2019-12-31 Varian Semiconductor Equipment Associates, Inc. Uniform gate dielectric for DRAM device
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110942987A (zh) * 2018-09-21 2020-03-31 长鑫存储技术有限公司 一种半导体结构的形成方法
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10692730B1 (en) * 2019-08-30 2020-06-23 Mattson Technology, Inc. Silicon oxide selective dry etch process
KR102360733B1 (ko) * 2019-11-19 2022-02-10 세메스 주식회사 기판 처리 방법 및 기판 처리 장치
JP7415594B2 (ja) * 2020-01-24 2024-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US11171012B1 (en) * 2020-05-27 2021-11-09 Tokyo Electron Limited Method and apparatus for formation of protective sidewall layer for bow reduction
CN115433898A (zh) * 2022-09-29 2022-12-06 华虹半导体(无锡)有限公司 有效替代金属硅化物工艺机台的Degas腔的方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW394989B (en) * 1997-10-29 2000-06-21 Matsushita Electronics Corp Semiconductor device manufacturing and reaction room environment control method for dry etching device
JP2001284307A (ja) * 2000-03-29 2001-10-12 Ftl:Kk 半導体の表面処理方法
JP2002100574A (ja) * 2000-09-25 2002-04-05 Hitachi Kokusai Electric Inc 基板処理装置
US6872323B1 (en) * 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
KR20060133606A (ko) * 2005-06-21 2006-12-27 삼성전자주식회사 콘택홀 세정방법 및 이를 이용한 반도체 소자의 제조방법
CN100444351C (zh) * 2005-10-20 2008-12-17 Bcd半导体制造有限公司 一种集成电路双极电路中接触孔的制造工艺
US7416989B1 (en) * 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
JP2008088529A (ja) * 2006-10-04 2008-04-17 Ulvac Japan Ltd 膜形成方法および膜形成装置
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
CN101740338B (zh) * 2008-11-24 2012-07-18 中芯国际集成电路制造(北京)有限公司 薄膜去除方法
US9177780B2 (en) * 2012-10-02 2015-11-03 Applied Materials, Inc. Directional SiO2 etch using plasma pre-treatment and high-temperature etchant deposition
TWI604528B (zh) * 2012-10-02 2017-11-01 應用材料股份有限公司 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻
TWI591712B (zh) * 2012-10-03 2017-07-11 應用材料股份有限公司 使用低溫蝕刻劑沉積與電漿後處理的方向性二氧化矽蝕刻
US8980761B2 (en) * 2012-10-03 2015-03-17 Applied Materials, Inc. Directional SIO2 etch using low-temperature etchant deposition and plasma post-treatment

Also Published As

Publication number Publication date
US20150072508A1 (en) 2015-03-12
KR20150060920A (ko) 2015-06-03
CN104813450B (zh) 2018-08-14
WO2014055235A1 (en) 2014-04-10
US20160247689A1 (en) 2016-08-25
CN104813450A (zh) 2015-07-29
US9245769B2 (en) 2016-01-26
TWI604528B (zh) 2017-11-01
KR102140955B1 (ko) 2020-08-04
US9653318B2 (en) 2017-05-16

Similar Documents

Publication Publication Date Title
TWI604528B (zh) 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻
TWI591712B (zh) 使用低溫蝕刻劑沉積與電漿後處理的方向性二氧化矽蝕刻
US9177780B2 (en) Directional SiO2 etch using plasma pre-treatment and high-temperature etchant deposition
US10727080B2 (en) Tantalum-containing material removal
US9947549B1 (en) Cobalt-containing material removal
KR102358262B1 (ko) 게르마늄 산화물 전-세정 모듈 및 프로세스
JP5518239B2 (ja) トレンチ及びビアの断面形状を変形させる方法及び装置
US8951913B2 (en) Method for removing native oxide and associated residue from a substrate
KR101974715B1 (ko) 산화막 제거 방법 및 제거 장치, 및 콘택 형성 방법 및 콘택 형성 시스템
TWI715650B (zh) 基板處理設備及方法
JP2010245512A (ja) 基板のエッチング方法及びシステム
KR102244356B1 (ko) 기판 처리 방법
US8980761B2 (en) Directional SIO2 etch using low-temperature etchant deposition and plasma post-treatment
KR101725711B1 (ko) 에칭 방법 및 기록 매체
CN112635317A (zh) 蚀刻方法、损伤层的去除方法和存储介质
Or et al. Directional SiO 2 etch using plasma pre-treatment and high-temperature etchant deposition
JP2019502269A (ja) エッチングハードウェアに対する水素プラズマベース洗浄処理
JPH05326477A (ja) 半導体基板表面のハロゲン除去方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees