CN104081285B - The method of substrate holder and manufacture substrate holder - Google Patents

The method of substrate holder and manufacture substrate holder Download PDF

Info

Publication number
CN104081285B
CN104081285B CN201380007834.9A CN201380007834A CN104081285B CN 104081285 B CN104081285 B CN 104081285B CN 201380007834 A CN201380007834 A CN 201380007834A CN 104081285 B CN104081285 B CN 104081285B
Authority
CN
China
Prior art keywords
substrate
knuckle
substrate holder
liquid
patterning devices
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201380007834.9A
Other languages
Chinese (zh)
Other versions
CN104081285A (en
Inventor
R·拉法雷
S·唐德斯
N·坦凯特
N·德齐奥姆基纳
Y·卡瑞德
E·罗登伯格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN104081285A publication Critical patent/CN104081285A/en
Application granted granted Critical
Publication of CN104081285B publication Critical patent/CN104081285B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70341Details of immersion lithography aspects, e.g. exposure media or control of immersion liquid supply
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/06Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B22CASTING; POWDER METALLURGY
    • B22FWORKING METALLIC POWDER; MANUFACTURE OF ARTICLES FROM METALLIC POWDER; MAKING METALLIC POWDER; APPARATUS OR DEVICES SPECIALLY ADAPTED FOR METALLIC POWDER
    • B22F10/00Additive manufacturing of workpieces or articles from metallic powder
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B22CASTING; POWDER METALLURGY
    • B22FWORKING METALLIC POWDER; MANUFACTURE OF ARTICLES FROM METALLIC POWDER; MAKING METALLIC POWDER; APPARATUS OR DEVICES SPECIALLY ADAPTED FOR METALLIC POWDER
    • B22F10/00Additive manufacturing of workpieces or articles from metallic powder
    • B22F10/20Direct sintering or melting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B22CASTING; POWDER METALLURGY
    • B22FWORKING METALLIC POWDER; MANUFACTURE OF ARTICLES FROM METALLIC POWDER; MAKING METALLIC POWDER; APPARATUS OR DEVICES SPECIALLY ADAPTED FOR METALLIC POWDER
    • B22F7/00Manufacture of composite layers, workpieces, or articles, comprising metallic powder, by sintering the powder, with or without compacting wherein at least one part is obtained by sintering or compression
    • B22F7/06Manufacture of composite layers, workpieces, or articles, comprising metallic powder, by sintering the powder, with or without compacting wherein at least one part is obtained by sintering or compression of composite workpieces or articles from parts, e.g. to form tipped tools
    • B22F7/062Manufacture of composite layers, workpieces, or articles, comprising metallic powder, by sintering the powder, with or without compacting wherein at least one part is obtained by sintering or compression of composite workpieces or articles from parts, e.g. to form tipped tools involving the connection or repairing of preformed parts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/34Laser welding for purposes other than joining
    • B23K26/342Build-up welding
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/352Working by laser beam, e.g. welding, cutting or boring for surface treatment
    • B23K26/354Working by laser beam, e.g. welding, cutting or boring for surface treatment by melting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q3/00Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
    • B23Q3/18Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine for positioning only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B33ADDITIVE MANUFACTURING TECHNOLOGY
    • B33YADDITIVE MANUFACTURING, i.e. MANUFACTURING OF THREE-DIMENSIONAL [3-D] OBJECTS BY ADDITIVE DEPOSITION, ADDITIVE AGGLOMERATION OR ADDITIVE LAYERING, e.g. BY 3-D PRINTING, STEREOLITHOGRAPHY OR SELECTIVE LASER SINTERING
    • B33Y10/00Processes of additive manufacturing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B33ADDITIVE MANUFACTURING TECHNOLOGY
    • B33YADDITIVE MANUFACTURING, i.e. MANUFACTURING OF THREE-DIMENSIONAL [3-D] OBJECTS BY ADDITIVE DEPOSITION, ADDITIVE AGGLOMERATION OR ADDITIVE LAYERING, e.g. BY 3-D PRINTING, STEREOLITHOGRAPHY OR SELECTIVE LASER SINTERING
    • B33Y80/00Products made by additive manufacturing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/704162.5D lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • G03F7/70708Chucks, e.g. chucking or un-chucking operations or structural details being electrostatic; Electrostatically deformable vacuum chucks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B22CASTING; POWDER METALLURGY
    • B22FWORKING METALLIC POWDER; MANUFACTURE OF ARTICLES FROM METALLIC POWDER; MAKING METALLIC POWDER; APPARATUS OR DEVICES SPECIALLY ADAPTED FOR METALLIC POWDER
    • B22F10/00Additive manufacturing of workpieces or articles from metallic powder
    • B22F10/20Direct sintering or melting
    • B22F10/25Direct deposition of metal particles, e.g. direct metal deposition [DMD] or laser engineered net shaping [LENS]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B22CASTING; POWDER METALLURGY
    • B22FWORKING METALLIC POWDER; MANUFACTURE OF ARTICLES FROM METALLIC POWDER; MAKING METALLIC POWDER; APPARATUS OR DEVICES SPECIALLY ADAPTED FOR METALLIC POWDER
    • B22F10/00Additive manufacturing of workpieces or articles from metallic powder
    • B22F10/20Direct sintering or melting
    • B22F10/28Powder bed fusion, e.g. selective laser melting [SLM] or electron beam melting [EBM]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B22CASTING; POWDER METALLURGY
    • B22FWORKING METALLIC POWDER; MANUFACTURE OF ARTICLES FROM METALLIC POWDER; MAKING METALLIC POWDER; APPARATUS OR DEVICES SPECIALLY ADAPTED FOR METALLIC POWDER
    • B22F10/00Additive manufacturing of workpieces or articles from metallic powder
    • B22F10/60Treatment of workpieces or articles after build-up
    • B22F10/66Treatment of workpieces or articles after build-up by mechanical means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P10/00Technologies related to metal processing
    • Y02P10/25Process efficiency

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Optics & Photonics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Composite Materials (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Magnetic Heads (AREA)

Abstract

There is main body for the object retainer of lithographic equipment, the main body has surface.The multiple knuckles for supporting object are formed on a surface or in the aperture of pellicular cascade.By at least one of laser sintered formation knuckle.At least one of knuckle by laser sintered formation can be previously passed laser sintered or the reparation of the damage knuckle of other method formation.

Description

The method of substrate holder and manufacture substrate holder
Cross-Reference to Related Applications
This application claims on 2 3rd, 2012 submit to U.S. Provisional Application on April 9th, 61/594,857,2012 submit to The rights and interests of the U.S. Provisional Application 61/621,660 that U.S. Provisional Application on April 9th, 61/621,648 and 2012 submits to, lead to herein Reference is crossed to be integrally incorporated herein above-mentioned application.
Technical field
The present invention relates to the method for substrate holder, lithographic equipment, device making method and manufacture substrate holder.
Background technology
Lithographic equipment is the machine for desired pattern being applied on substrate, being commonly applied on the target part of substrate. Lithographic equipment can be used in the manufacture of such as integrated circuit (IC).In this case, it is possible to use be alternatively referred to as mask Or the patterning devices of mask produce the circuit pattern on each layer to be formed on IC.The pattern can be transferred to substrate On target part (such as the part including one or several nude films) on (such as silicon wafer).The transfer of pattern is typically It is imaged on the via on radiation-sensitive materials (resist) layer set on substrate.Generally, single substrate will be comprising subsequent composition Adjacent target portions network.Known lithographic equipment includes so-called stepper and so-called scanner, in stepper By by whole pattern single exposure in each target part is radiated on target part, by assigned direction in scanner In (" scanning " direction) by radiation beam scan pattern, while parallel with the direction or anti-parallel synchronous scanning substrate is radiated Each target part.On substrate, pattern can also be transferred to substrate from patterning devices by by graphic-print.
The substrate in immersion lithographic projector equipment in the liquid (such as water) with relative high index of refraction is had been proposed that, is made The space between substrate and the final element of optical projection system must be filled in.In one embodiment, liquid is distilled water, but can be with Use another liquid.Embodiments of the invention will be described with reference to liquid.However, another fluid can be suitable, particularly moisten Wet fluid, incompressible fluid and/or with than air higher refractive index, ideally than the fluid of water higher refractive index.Exclude Fluid outside gas is especially desired to.The purpose of do so is to realize the imaging of smaller feature, because exposing radiation exists Will be with shorter wavelength in liquid.(effect of liquid can also be considered as the effective numerical aperture (NA) of increase system and increase Depth of focus).Other immersion liquids are proposed, including with the water for being suspended at solid particle therein (such as quartz) or with nanometer The liquid of particle suspension thing (there is the maximum sized particle of up to 10nm).The particle of suspension can have or can not have With wherein they be suspended in that liquid therein is similar or identical refractive index.Potentially suitable other liquid include nytron Thing, such as aromatic hydrocarbon, fluorohydrocarbon and/or the aqueous solution.
The content of the invention
In conventional lithographic equipment, substrate to be exposed can be supported by substrate holder, the substrate holder is then Supported by substrate table.(but it can have the flat rigid disk that substrate holder is typically on size and dimension corresponding to substrate There are different sizes or shape).It has prominent array, and the protrusion is referred to as knuckle (burl) or convex spot (pimple), to Few side protrudes.In one embodiment, substrate holder has prominent array on two opposite sides.In such case Under, when substrate holder is placed on substrate table, the main body of substrate holder is maintained at the small distance on substrate table, and substrate The end of the knuckle on the side of retainer is located on the surface of substrate table.Similarly, when substrate dwell is in substrate holder When on the top of the knuckle on opposite side, substrate is spaced apart with substrate holder.One purpose of do so is to help prevent The particle (that is, the pollution particle of such as dust granule) being likely to be present on substrate table or substrate holder make substrate holder or Substrate distortion.Because the total surface region of knuckle is only the sub-fraction of the overall area of substrate or substrate holder, so very may be used Can any particle will be between knuckle and its presence will be without any influence.
Due to the high acceleration experienced by substrate in the use of the lithographic equipment of high-throughput, substrate letter is insufficient to allow for Singly rest on the knuckle of substrate holder.It is clamped by original position.Two methods --- the vacuum of known clamping substrate in situ Clamping and electrostatic clamp.In vacuum chuck, protected between substrate holder and substrate and alternatively in substrate table and substrate Space segment ground emptying between holder so that substrate keeps by the way that gas thereon or the higher pressure of liquid are in situ.However, Vacuum chuck may be simultaneously infeasible, and environment and/or beam path wherein near substrate or substrate holder are maintained at low or very At low pressure, such as extreme ultraviolet (EUV) radiation lithography.In this case, the foot across substrate (or substrate holder) is produced Enough big pressure differentials are come to clamp it be probably impossible.Therefore (or in other environment) use electrostatic chuck in this environment Hold.In electrostatic clamp, electrode lifting on substrate table and/or substrate holder to high potential is arranged on, such as 10V is arrived 5000V, and electrostatic force absorption substrate.Thus, the another object of knuckle is to be spaced substrate, substrate holder and substrate table Open, to realize electrostatic clamp.
Knuckle is used in various other positions that can be in lithographic equipment, other positions are for example in such as mask etc In the supporter of patterning devices, in the clamper of patterning devices actuation means or substrate and/or in mask clamp bar.Diverse location In knuckle can have one or more in size on them and other physical properties of different requirement.Can fit With the distinct methods of manufacture.In many cases, the damage to one or more knuckles may make being replaced with for whole component It is required.
For example expect provide in the lithographic apparatus using object retainer and manufacture with the knuckle object protect The method of holder, the retainer such as knuckle with different shape, size and/or component.
According to an aspect of the present invention, there is provided have a kind of manufacture for the object retainer that uses in the lithographic apparatus Method, the method includes:Main body with surface is provided;And forming multiple knuckles on said surface, the knuckle is from institute State surface to protrude and with the end surfaces of support object, wherein at least a portion for forming at least one of the knuckle includes It is laser sintered.
According to an aspect of the present invention, there is provided have a kind of object retainer for using in the lithographic apparatus, this pair As retainer includes:Main body with surface;And multiple knuckles, set on said surface and with the end of support object Surface, wherein by it is laser sintered form the knuckle at least one at least a portion.
According to an aspect of the present invention, there is provided have a kind of lithographic equipment, including:Supporting construction, is configured to support composition Equipment;Projection system, is arranged to be projected on substrate by the beam of the patterning devices composition;And substrate holder, cloth The holding substrate is set to, the substrate holder is as described herein.
Brief description of the drawings
Now with reference to accompanying schematic figure, embodiments of the invention are only described by way of example, correspond in the accompanying drawings Reference number indicate corresponding part, and wherein:
Fig. 1 depicts lithographic equipment according to an embodiment of the invention;
Fig. 2 and Fig. 3 depict the liquid-supplying system for being used in lithographic projection apparatus;
Fig. 4 depicts another liquid-supplying system for being used in lithographic projection apparatus;
Fig. 5 depicts the stop part that can serve as immersion liquid feed system in one embodiment of the invention with section Part;
Fig. 6 depicts lithographic equipment according to an embodiment of the invention;
Fig. 7 is the more stereogram of equipment 4100;
Fig. 8 is the more stereogram of the source collector device SO of the equipment of Fig. 6 and Fig. 7;
Fig. 9 depicts substrate table according to an embodiment of the invention and substrate holder with section;
Figure 10 A to Figure 10 E are depicted in the method for manufacture substrate holder according to an embodiment of the invention Step;
Figure 11 depicts substrate holder according to an embodiment of the invention;
Figure 12 depicts the supporting construction for patterning devices according to an embodiment of the invention;
Figure 13 depicts a part for supporting construction according to embodiments of the present invention with plane;
Figure 14 depicts the supporting construction of Figure 13 with section;
Figure 15 depicts substrate control device according to an embodiment of the invention and clamper;
Figure 16 depicts substrate table according to an embodiment of the invention;
Figure 17 A to Figure 17 C depict the step in the method for reparation substrate holder according to an embodiment of the invention Suddenly;And
Figure 18 A to Figure 18 C depict the step in the method for reparation substrate holder according to an embodiment of the invention Suddenly.
Specific embodiment
Fig. 1 schematically depict lithographic equipment according to an embodiment of the invention.The equipment includes:
- illuminator (luminaire) IL, is configured to regulation radiation beam B (such as UV radiation, DUV radiation or EUV-radiation);
- supporting construction (such as mask platform) MT, is configured to support patterning devices (such as mask) MA and be connected to first determine Position device PM, first locator PM are configured to position patterning devices exactly according to special parameter;
- substrate table (such as wafer station) WT, is configured to keep substrate (such as resist coating chip) W and be connected to second Locator PW, second locator PW is configured to position substrate exactly according to special parameter;And
- optical projection system (such as refractive projection lens system) PS, is configured to assign radiation beam B's by patterning devices MA Pattern is projected on the target part C (such as including one or more nude films) of substrate W.
Illuminator can include various types of optical modules, such as guide, shape or control radiate refraction, Reflection, magnetic, electromagnetism, electrostatic or other types of optical module, or its any combination.
Supporting construction MT keeps patterning devices.Supporting construction MT sets with depending on the orientation of patterning devices, lithographic equipment The mode of other conditions whether meter and such as patterning devices are maintained in vacuum environment etc keeps patterning devices.Supporting construction MT can keep patterning devices using machinery, vacuum, electrostatic or other clamping techniques.Supporting construction MT can be frame or platform, For example can be fixed or movable as needed.Supporting construction MT may insure that patterning devices are for example in the phase on optical projection system At the position of prestige.The term " mask " of this paper or any of " mask " are used it is considered that and more generic term " patterning devices " It is synonymous.
Terms used herein " patterning devices " should be broadly construed as reference and can be used for being assigned using pattern in its section Give the arbitrary equipment of radiation beam so that create pattern in the target part of substrate.If it should be noted that for example, pattern includes phase Feature or so-called supplemental characteristic are moved, then assigns the phase that the pattern of radiation beam can inaccurately correspond in substrate target part Hope pattern.Generally, the pattern for assigning radiation beam will be corresponding in the device (such as integrated circuit) created in target part Concrete function layer.
Patterning devices can be transmission or reflection.The example of patterning devices include mask, programmable mirror array and Programmable LCD panel.Mask is well known in photoetching, and including such as binary, alternating phase-shift and attenuating phase-shift etc Mask-type and various hybrid mask types.The example of programmable mirror array uses the matrix arrangements of small minute surface, each Minute surface can be inclined individually to be reflected in the radiation beam that different directions are come in.Inclined minute surface is in the spoke reflected by mirror matrix Pattern is assigned in beam.
The system that terms used herein " optical projection system " should be broadly construed as cover any type, suitably for being made The other factorses for using etc of the use of exposing radiation or such as immersion liquid or vacuum, including refraction, reflection, reflexed Penetrate, magnetic, electromagnetism and electrostatic optics system or its any combination.The use of the term " projecting lens " of this paper may be considered with More general term " optical projection system " is synonymous.
As depicted herein, equipment is transmission-type (for example with transmission mask).Alternatively, equipment can be anti- (programmable mirror array or use reflection mask) for example with the above-mentioned type of emitting.
Lithographic equipment can be two or more substrate support structures and/or two with such as substrate platen or substrate table The type of individual or more the supporting construction for patterning devices.In the equipment with multiple substrate platens, all substrate platens Can be equivalent and interchangeable.In one embodiment, at least one of multiple substrate platens are particularly suitable for exposure step And at least one of multiple substrate platen is particularly suitable for measurement or preparation process suddenly,.In an embodiment of the present invention, it is multiple One or more in substrate platen are replaced by measuring table.Measuring table includes such as sensor detector and/or sensor At least a portion in one or more sensing systems of the target of system etc, but not support substrate.Instead of for composition The substrate platen or supporting construction of equipment, measuring table can be positioned in projected bundle.In such devices, can use parallel Complementary platform, or preparation process can be performed on one or more platforms, and one or more of the other platform is used to expose.
Reference picture 1, luminaire IL receives the radiation beam from radiation source S O.For example when the source is excimer laser, The source and lithographic equipment can be single entities.In this case, the source is not considered to form of lithographic equipment Point, and radiation beam reaches luminaire IL by means of beam transmission system BD from source SO, and beam transmission system BD for example includes being adapted to Guiding minute surface and/or beam expander.In other cases, for example when the source is mercury lamp, the source can be the one of lithographic equipment Body part.If it is required, then source SO and luminaire IL can be referred to as radiating system together with beam transmission system BD.
Luminaire IL can include that adjuster AM, adjuster AM are configured to adjust the angular intensity distribution of radiation beam. In general, at least outer and/or interior radiation scope of the intensity distribution in can adjusting the pupil plane of luminaire (is referred to as respectively σ-outer and σ-interior).Additionally, luminaire IL can include various other components, such as integrator IN and concentrator CO.Luminaire can For regulation radiation beam, there is desired uniformity and intensity distribution in its section.Similar to source SO, luminaire IL can be with It is considered as or can be not considered to form a part for lithographic equipment.For example, luminaire IL can be the integration of lithographic equipment Part can be the entity separated with lithographic equipment.In latter case, lithographic equipment may be configured to allow luminaire IL is mounted thereto.Alternatively, luminaire IL is detachable and can be with (such as by lithographic equipment manufacturer or another supplier) It is provided separately.
Radiation beam B is incident on patterning devices (such as mask) MA, and patterning devices MA is maintained at supporting construction and (for example covers Die station) on MT, radiation beam B is by patterning devices composition.It is advanced through after patterning devices MA, radiation beam B passes through optical projection system PS, Be gathered in beam on the target part C of substrate W by optical projection system PS.Embodiments in accordance with the present invention, substrate W passes through substrate holder It is maintained on substrate table WT, and substrate W as described further below.By means of the second locator PW and position sensor IF (such as interference apparatus, linear encoder or capacitance type sensor), can exactly move substrate table WT, such as so as in radiation Different target part C are positioned in the path of beam B.Similarly, for example after being obtained from the machinery of mask library or in scanning Period, it is possible to use the first locator PM and another location sensor (being not explicitly depicted in Fig. 1) come the path on radiation beam B Patterning devices MA is positioned exactly.Generally, can be (thick fixed by means of the long-stroke module for the part for forming the first locator PM Position) and short stroke module (fine positioning), realize the movement of supporting construction MT.It is likewise possible to use the second locator PM of formation A part long-stroke module and short stroke module, realize the movement of substrate table WT.In stepper (relative with scanner) In the case of, supporting construction MT can be connected only to short-stroke actuator or can be fixed.Can be using patterning devices to fiducial mark Be aligned for patterning devices MA and substrate W by note M1, M2 and substrate alignment mark P1, P2.Although shown substrate alignment mark is occupied Dedicated target portion, but they may be located between target location space in (these are known as scribe line alignment mark).Class As, set on patterning devices MA wherein in the situation of more than one nude film, composition can be positioned between nude film and set Standby alignment mark.
Shown equipment is used at least one that can be in following pattern:
1. in step mode, supporting construction MT and substrate table WT keeps substantially static, and assigns the whole figure of radiation beam Once (i.e. single static exposure) is projected on target part C case.Then the dislodged substrate platform WT in X and/or Y-direction so that Different target part C can be exposed.In step mode, the full-size of exposure field is imaged in being limited in single static exposure Target part C size.
2. in scan pattern, synchronous scanning supporting construction MT and substrate table WT, while the pattern that will assign radiation beam is thrown Shadow is to (i.e. single dynamic exposure) on target part C.Substrate table WT can be by throwing relative to the speed of supporting construction MT and direction (the going) of shadow system PS is amplified and image reversal characteristics determine.In scan pattern, the full-size of exposure field is in single dynamic The width (in non-scan direction) of limited target part in exposure, and the length of scanning motion determines the height of target part (in a scanning direction).
3. in another pattern, supporting construction MT keeps substantially static, so as to keep programmable patterning devices, and is assigning Substrate table WT is moved or scanned while giving the pattern of radiation beam to project on target part C.In this mode, generally use Impulse radiation source, and during scanning substrate table WT it is each mobile after or between successive pulses of radiation, according to Need to update programmable patterning devices.The operator scheme can be readily applied to the maskless light using programmable patterning devices Carve, the programmable mirror array of such as the above-mentioned type.
Can also be using the combination and/or change in above-mentioned use pattern or entirely different use pattern.
In many lithographic equipments, fluid (particularly liquid) is arranged on optical projection system using liquid-supplying system IH Between final element, to realize the imaging of smaller feature and/or increase effective NA of equipment.Further below with reference to such leaching Do not have equipment to describe embodiments of the invention, but can equally implement in non-submerged equipment.For in the final of optical projection system The arrangement that liquid is provided between element and substrate can be categorized as at least two total classes.These are bath type arrangement and so-called office Portion's immersion system.In bath type arrangement, basic whole substrate and alternatively a part for substrate table is immersed in liquid bath.Office Portion's immersion system uses liquid-supplying system, wherein liquid to be only provided to the regional area of substrate.In latter class, filled out by liquid The space filled is in the planes less than the top surface of substrate, and the region filled with liquid keeps substantially quiet relative to optical projection system Only, while substrate is moved below the region.The present embodiments relate to another arrangement be that the unrestricted wetting entirely of liquid is molten Liquid.In this arrangement, the basic whole top surface of substrate and all or part of substrate table are covered in immersion liquid.Covering is extremely The depth of the liquid of few substrate is small.The liquid can be the liquid film on substrate, such as film.
Four kinds of different types of localized liquid feed systems are illustrated in Fig. 2 to Fig. 5.Any liquid of Fig. 2 to Fig. 5 is supplied Can be used in unrestricted system to equipment;However, seal feature and do not exist, do not activate, unlike it is normal like that it is effective or Liquid is only effectively less sealed to regional area in addition.
One of arrangement proposed for local immersion system is that liquid-supplying system is using liquid constrained system only in lining (substrate generally has than optical projection system to provide liquid on the regional area at bottom and between the final element and substrate of optical projection system The bigger surface region of final element).Have been proposed that a kind of mode arranged for this discloses No.WO99/ in PCT Patent Application Disclosed in 49504.As shown in Figures 2 and 3, moving direction ideally along substrate relative to final element, by least Individual entrance is supplied a liquid on substrate, and is removed by least one outlet after below optical projection system.Also It is, in element lower scan substrate, liquid and the receiving liquid at-X sides to be supplied at+X the sides of element with -X direction.
Fig. 2 schematically shows and wherein supplies liquid and by being connected to the outlet of low pressure source in element via entrance The arrangement of the liquid is received on opposite side.Arrow below arrow diagramming above substrate W liquid flow direction, and substrate W Head illustrates the moving direction of substrate table.In the diagram of Fig. 2, liquid is supplied along the moving direction of substrate relative to final element Body, but it is not required to be such case.The various orientations and number of the entrance and exit being positioned at around final element are possible , Fig. 3 illustrates an example, wherein be arranged on regular pattern around final element having four groups exported on either side Entrance.Liquid is supplied and the arrow indicating liquid flow direction in liquid restorer.
Fig. 4 shows another immersion lithographic solution with localized liquid feed system.By optical projection system PS either sides On two access recess supply liquid, and by from entrance radiate ground outwards arrangement multiple discrete entrance remove liquid.Enter Mouth and outlet can be arranged therein in the plate with hole in the heart and projected bundle is projected through the plate.By optical projection system PS's On side an access recess supply liquid and pass through optical projection system PS opposite side on it is multiple discrete outlet remove liquid Body so that fluid film flows between optical projection system PS and substrate W.Which combination of the entrance and exit that selection is used can be with Depending on the moving direction (another combination of entrance and exit is not activated) of substrate W.In the sectional view of Fig. 4, arrow plot Show that liquid flows into the direction of entrance and outflow outlet.
Another arrangement having been proposed that is, there is provided the liquid-supplying system with liquid limited components, and the liquid is limited At least a portion on the border in space of the part between the final element and substrate table of optical projection system extends.Illustrated in Fig. 5 Such arrangement.Liquid limited components are substantially static in the xy plane relative to optical projection system, but in z-direction (in optics On direction of principal axis) there may be some relative movements.Sealing is formed between liquid limited components and the surface of substrate.In a reality Apply in example, sealing is formed between liquid limited components and substrate surface and the sealing can be non-contact seals, such as gas Body is sealed.Such system is disclosed in U.S. Patent Application Publication No.US 2004-0207824.
Fluid operated structure 12 includes liquid limited components, and between the final element and substrate W of optical projection system PS Space 11 at least in part include liquid.Non-contact seals 16 to substrate W can be formed in the picture field of optical projection system Around so that liquid is limited in the space between the final element of substrate W surface and optical projection system PS.The space is at least Partly the fluid operated structure 12 of the final element by being located below and around optical projection system PS is formed.Liquid passes through liquid In the space that entrance 13 enters below optical projection system and in fluid operated structure 12.Liquid can be removed by liquid outlet 13 Body.Fluid operated structure 12 can extend above the final element of optical projection system.Liquid level rises to final unit Part top so that the buffering of liquid is provided.In one embodiment, fluid operated structure 12 has inner periphery, inside week Boundary closely conforms to the shape of optical projection system or its final element at upper end and for example can be sphering.At bottom, Inner periphery closely conforms to the shape of picture field, such as rectangle, but is not required to be such situation.
In one embodiment, liquid is included in the space 11 by air seal 16, during use, air seal 16 are formed between the surface of the bottom of fluid operated structure 12 and substrate W.Air seal by gas such as air, synthesize sky Gas, N2Or another inert gas is formed.Gas in air seal is provided to fluid operated structure via entrance 15 under stress Space between 12 and substrate W.Air is drawn via outlet 14.The vacuum in overvoltage, outlet 14 on arrangement gas access 15 Level and the geometry in space so that inwardly there is the high velocity gas stream 16 of limitation liquid.Fluid operated structure 12 and substrate W Between liquid on gas force include the liquid in space 11.Inlet/outlet can be the annular groove around space 11.Ring Connected in star can be continuous or discontinuous.Gas stream 16 is effectively comprising the liquid in space 11.In U.S. Patent application Such system is disclosed in open No.US 2004-0207824.
The example of Fig. 5 is regional area arrangement, and wherein liquid is arbitrarily once only provided to the partial zones of the top surface of substrate W Domain.Other arrangements are possible, including fluidic manipulation systems, and the fluidic manipulation systems utilize single phase extractor or two phases Position extractor, such as disclosed in U.S. Patent Application Publication No.US 2006-0038968.
Another possible arrangement is the arrangement worked according to air resistance principle.So-called air resistance principle is for example in U.S. In state patent application publication No.US 2008-0212046, No.US2009-0279060 and No.US 2009-0279062 Description.Within the system, drawing holes is arranged to the ideally shape with turning.Turning can be with stepping or scanning direction pair It is accurate.Compared to the fluid operated structure with two outlets being aligned perpendicular to scanning direction, this reduce in stepping or scanning For the power on the meniscus between two openings in fluid operated body structure surface of given speed on direction.
A kind of gas positioned with obtaining feature external radiation in bulk fluid is also disclosed in US 2008-0212046 Knife.The capture of gas knife obtains any liquid of feature by bulk fluid.Such gas knife may reside in so-called gas Impedance concept is arranged (as disclosed in US2008-0212046), single one or two phase extractors arrangement is (such as special in the U.S. Disclosed in the open No.US 2009-0262318 of profit application) or any other arrangement in.
The liquid-supplying system of many other types is possible.The present invention is supplied neither limited to the liquid of any particular type To system, immersion lithographic is also not necessarily limited to.The present invention can be equally applicable in any photoetching.In EUV lithography equipment, Shu Lu The basic vacuum in footpath and above-mentioned submergence is not used to arrange.
Control system 500 shown in Fig. 1 controls the overall operation of lithographic equipment, particularly performs what is be described further below Optimize technique.Control system 500 may be embodied as properly programmed all-purpose computer, and the all-purpose computer includes that center treatment is single Unit and volatibility and Nonvolatile memory devices.Can alternatively, control system may further include one or more inputs And output equipment, such as go to the keyboard and screen of the various parts of lithographic equipment, one or more network connections and/or one Or multiple interfaces.It is appreciated that what the one-one relationship between control computer and lithographic equipment was not required.Of the invention In one embodiment, a computer can control multiple lithographic equipments.In one embodiment of the invention, it is possible to use many The computer of individual networking controls a lithographic equipment.Control system 500 may be configured in control lithographic cell or cluster One or more associated process equipments and substrate controlling equipment, lithographic equipment form a part for the lithographic cell or cluster. Control system 500 may be configured to be subordinated to the monitoring system and/or the overall control system of factory of lithographic cell or cluster.
Fig. 6 schematically depict the EUV lithography equipment 4100 including source collector device SO.The equipment includes:
- illuminator (luminaire) EIL, is configured to regulation radiation beam B (such as EUV-radiation);
- supporting construction (such as mask platform) MT, is configured to support patterning devices (such as mask or mask) MA and connects To the first locator PM, first locator PM is configured to position patterning devices exactly;
- substrate table (such as wafer station) WT, is configured to keep substrate (such as resist coating chip) W and be connected to second Locator PW, second locator PW is configured to position substrate exactly;And
- optical projection system (such as reflective projection system) PS, is configured to that the pattern of radiation beam B will be assigned by patterning devices MA Project on the target part C (such as including one or more nude films) of substrate W.
These basic modules of EUV lithography equipment are functionally similar to the corresponding component of the lithographic equipment of Fig. 1.Following Description mainly covers different regions and omits the repeated description in terms of same components.
In EUV lithography equipment, expect to use vacuum or environment under low pressure, because gas can absorb excessive radiation.Therefore Vacuum environment can be provided to whole beam path by means of vacuum wall and one or more vavuum pumps.
Reference picture 6, EUV luminaires EIL receives the extreme ultraviolet radiation beam from source collector device SO.For producing EUV The method of radiation is included but is not limited to, will be with least one element using one or more emission lines in EUV range The material of such as xenon, lithium or tin is converted into plasma state.In such a method, commonly referred to laser plasma The plasma of (" LPP ") can by using bombardment with laser beams fuel such as have expect line transmitting element material drop, Stream or cluster are produced.Source collector device SO can be the part for including the EUV radiation system of laser, not show in figure 6 Go out, the laser beam of fuel is excited to provide.Resulting plasma sends output radiation, such as EUV-radiation, the output radiation It is to be collected using the radiation collector being arranged in the collector device of source.For example when using CO2Laser is provided for fuel During the laser beam for exciting, laser and source collector device can be single entities.
In this case, laser is not qualified as being formed a part for lithographic equipment, and radiation beam by means of Beam transmission system is delivered to source collector device from laser, the beam transmission system include for example suitable guiding crystal face and/or Beam expander.In other cases, for example when source is plasma EUV generator (the commonly referred to DPP source) that electric discharge is produced, source It can be the integral part of source collector device.
EUV luminaires EIL can include adjuster, and the intensity for adjusting radiation beam EB is distributed.In general, can be with Adjust at least outer and/or interior radiation scope (be referred to as respectively σ-outer and σ-interior) of the intensity distribution in the pupil plane of luminaire. Additionally, EUV luminaires EIL can include various other components, such as facet and pupil mirror device.EUV luminaires EIL can For regulation radiation beam EB, to have desired uniformity and intensity distribution in its section.
Radiation beam EB is incident on patterning devices (such as mask) MA, and patterning devices MA is maintained at supporting construction (for example Mask platform) on MT, and radiation beam EB passes through patterning devices composition.After being reflected from patterning devices (such as mask) MA, spoke Beam EB passes through optical projection system PS, optical projection system PS to focus on the target part C of substrate W the beam.It is fixed by means of second Position device PW and position sensor PS2 (such as interference apparatus, linear encoder or capacitive sensor), can exactly move lining Base frame WT, for example, cause to position different target part C in the path of radiation beam EB.Similarly, the first locator PM and another The path that one position sensor PS1 can be used for relative to radiation beam EB positions patterning devices (such as mask) MA exactly.Structure Figure equipment (such as mask) MA and substrate W can be aligned using mask alignment mark M1, M2 and substrate alignment mark P1, P2.
Shown equipment can use the equipment identical pattern with Fig. 1.
Fig. 7 illustrates in greater detail EUV equipment 4100, including source collector device SO, EUV illumination system EIL and projection System PS.Source collector device SO is configured and arranged such that can be kept in the encapsulating structure 4220 of source collector device SO Vacuum environment.EUV-radiation sends plasma 4210 and can be formed by discharge plasma source.EUV-radiation can be by gas Or steam is produced, such as Xe gases, Li steam or Sn steam, wherein creating plasma 4210 to be emitted in electromagnetic spectrum Radiation in EUV range.For example plasma 4210 is created by causing the electric discharge of at least part of plasma for ionizing. For effective generation of radiation, it may be necessary to which such as 10Pa's of Xe, Li, Sn steam or any other suitable gas or steam divides Pressure.In one embodiment, there is provided the plasma of the tin (Sn) for exciting produces EUV-radiation.
The radiation that plasma 4210 sends is via optional gas shield and/or dirt trap 4230 (in certain situation Dirt barrier or aluminium foil trap are also referred to as down) to enter collection chamber 4212 from source chamber room 4211.The gas shield and/or dirt Trap 4230 is positioned in the opening in source chamber room 4211 or is positioned at behind the opening in the source chamber room 4211.Dirt trap 4230 can include channel structure.Dirt trap 4230 can also include the group of gas shield or gas shield and channel structure Close.The dirt trap or dirt barrier 4230 for further pointing out herein at least include channel structure, as known in the art.
Collection chamber 4212 can include radiation collector CO, and it can be so-called grazing incidence collector.Radiation collection Device CO has upstream radiation collector side 4251 and downstream radiation collector side 4252.Radiation through collector CO can pass through Grating frequency spectrum filter 4240 reflects to focus on virtual origin IF.Virtual origin IF is commonly referred to intermediate focus, and source is received Storage is arranged so that intermediate focus IF at the opening 4221 in encapsulating structure 4220 or near the opening 4221.It is empty Intend the image that source point IF is radiation-emitting plasma 4210.
Then, through illuminator IL, illuminator IL can include facet plane of field lens equipment 422 and facet light for radiation Pupil mirror device 424, the equipment 422 and 424 is arranged at patterning devices MA providing the angle point of desired radiation beam 421 Cloth and the desired radiation intensity uniformity at patterning devices MA.In radiation beam 421 in the structure kept by supporting construction MT After reflection at figure equipment MA, patterned beam 426 and will be through by optical projection system PS via reflecting element 428,430 is formed The beam 426 of composition is imaged onto on the substrate W kept by substrate platen or substrate table WT.
In showing that more elements may generally exist in optical unit IL and optical projection system PS.Depending on lithographic equipment Type, grating frequency spectrum filter 4240 can be there can optionally be.There may be than more minute surfaces shown in figure, for example can be with In the presence of 1 to 6 additional reflective element being present in optical projection system PS different from shown in Fig. 7.
Collector optical element CO shown in Fig. 7 is depicted as with the embedding of grazing incidence reflector 4253,4254 and 4255 Set collector, only as the example of collector (or collector minute surface).Grazing incidence reflector 4253,4254 and 4255 are axially symmetric Be arranged in around optic axis O, and the type optical collector CO preferably with commonly referred to DPP sources electric discharge produce etc. Plasma source is applied in combination.
Alternatively, collector device SO in source can be a part for the LPP radiating systems shown in Fig. 8.Laser LA is by cloth It is set to laser energy deposition into fuel, such as xenon (Xe), tin (Sn) or lithium (Li), so as to create the electronics temperature with tens eV The high ionization plasma 4210 of degree.The energy produced in the deexcitation of these ions and compound period is radiated from plasma Send, collected and focused on the opening 4221 in encapsulating structure 4220 by nearly vertical incidence optical collector CO.
Fig. 9 depicts substrate holder according to an embodiment of the invention.It can be maintained at the recessed of substrate table WT Fall into interior and support substrate W.The main body of substrate holder 100 has flat type, for example, be substantially corresponding in both shape and size to substrate W Disk.Substrate holder for example can be by Si, SiC, SiSiC, aluminium nitride (AlN), zero thermal expansion coefficient devitrified glass (Zerodur), cordierite (cordierite) or some other suitable ceramics or glass ceramic material are formed.At least in top side On, in one embodiment on both sides, substrate holder has the protrusion 106 of commonly referred to knuckle.In one embodiment, Substrate holder is the integral part of substrate table and does not have knuckle on the lower surface.The knuckle is not in scale in fig .9 Show.By some or all in laser sintered formation knuckle as described below.
In putting into practice embodiment at one, there may be across substrate holder distribution such as width (such as diameter) 200mm, Hundreds of or thousands of knuckles of 300mm or 450mm, such as more than 10000 or more than 40000.The end of knuckle has small area, For example it is less than 1mm2.Thus the gross area of all knuckles on the side of substrate holder 100 is less than the summary table of substrate holder About the 10% of area, such as from 1% to 3%.Due to knuckle arrangement, high likelihood is likely located at substrate, substrate holder Or any particle on the surface of substrate table will fall between knuckle and therefore will not result in the change of substrate or substrate holder Shape.
Knuckle arrangement can form pattern and/or can have periodic arrangement.Knuckle arrangement can be rule or Can be according to expectancy changes providing the appropriate distribution of the power on substrate W and substrate table WT.Knuckle can have in the plane appoints Meaning shape, but it is generally circular in the plane.Knuckle can have same shape and size but generally on their whole height It is taper.The distance that knuckle is protruded from the remainder on the surface of the main body 100a of substrate holder 100 is from about 1 μm to about In the range of 5mm, ideally from about 5 μm to about 250 μm in the range of.The thickness of the main body 100a of substrate holder 100 can be with In the range of about 1mm to about 50mm, ideally in the range of about 5mm to about 20mm, typically 10mm.
Advantageously, knuckle could be formed with very consistent size.It is desirable that the difference between different knuckle height is very It is small.Short knuckle (be for example shorter than 20 μm, be shorter than 15 μm, be shorter than 5 μm, or be shorter than 3 μm) can be formed.Shorter knuckle is favourable , because they increase the heat transfer between substrate and substrate holder.Top and substrate away from the substrate holder of knuckle Gap between the support surface of the substrate on retainer is less than the support with bigger height.So small gap be easy to heat from Temperature control component (such as heater) is delivered to support substrate.Minimum knuckle height by the change of the total height of pellicular cascade with And the uneven amount of substrate and substrate holder determines.In one embodiment, knuckle is highly more than or equal to 1 μm or 2 μm.
Knuckle can have the width (such as diameter) less than or equal to 0.5mm.In one embodiment, knuckle has Width (such as diameter) in the range of from about 200 μm to about 500 μm.Spacing between knuckle about 1.5mm to about 3mm it Between.
Additionally, one embodiment of the present of invention allows to use the material of wider range for substrate holder.In the present invention The material of the prior method for being unsuitable for forming knuckle or substrate holder can be used in embodiment.In one embodiment, may be used Knuckle is formed with the material using the such as cordierite that cannot be easily processed, low CTE glass ceramics etc.Cordierite has For the superperformance used in substrate holder.For example, cordierite has the high Young's modulus and about 4W/mK of about 140Gpa Lower thermal conductivity.
Due to the manufacture method of stabilization, the substrate holder manufactured according to one embodiment of the invention can have length to use Life time.Embodiments of the invention can be presented desired wearability, such as good wear resistence, and therefore present specific The low generation rate of dirt.Advantageously, the need for one embodiment of the present of invention can avoid coated substrate retainer.
Substrate holder according to an embodiment of the invention can have the formation on a surface or two surfaces Film assembly 110.Film assembly can have the thickness degree in the range of from about 2nm to about 100 μm.Such film assembly There can be one or more layers.Each layer can be by including chemical vapor deposition, physical vapour deposition (PVD) (such as sputtering), leaching The technique of stain coating, rotary coating and/or spraying is formed.In one embodiment, it is formed in the component bag on substrate holder Pellicular cascade is included, i.e., including multiple film layers.Such component is described further below.Although being mentioned that shape in this description Can be formed on the lower surface of substrate holder into the pellicular cascade on the top surface of substrate holder, but pellicular cascade, Or on the substrate table below substrate holder, or on any other film of substrate table or substrate holder, including integration lining The surface of bottom retainer and substrate table.
Include such as electrode, resistive heater and/or sensor to be formed in the electrically or electronically assembly on substrate table, Such as (non-limiting to enumerate) strain transducer, Magnetic Sensor, pressure sensor, capacitive sensor or temperature sensor.Plus Hot device and sensor can be used for partly controlling and/or monitoring substrate holder and/or substrate temperature.This local control System and/or monitoring can reduce the temperature difference and stress in undesirable substrate holder or substrate or trigger desired substrate Temperature difference and stress in retainer or substrate.It is desirable that heater and sensor be formed on mutually the same region, week Enclose and/or top.Desired control substrate temperature and/or stress, so as to reduce or eliminate such as by substrate local expansion or The image error of overlay errors etc caused by shrinkage.For example, in immersion lithographic apparatus, remaining immersion liquid (such as water) exists Evaporation on substrate can cause localization cool down, can to where liquid surface apply heat load, and thus cause substrate Contraction.Conversely, the energy for being delivered to substrate by projected bundle during exposing can cause significantly heating and therefore cause lining The expansion at bottom.
In one embodiment, component to be formed is the electrode of electrostatic chuck.In electrostatic clamp, there is provided in substrate table And/or the electrode on substrate holder is thus lifted to high potential, such as from 10V to 5000V.Substrate can be grounded or floated.By Substrate is adsorbed onto substrate table and/or retainer by the electrostatic force in the electric field that electrode is produced, to provide chucking power.This enters below One step is described.
One or more electrical connections can be provided the electrically or electronically assembly on substrate holder is connected to voltage source (not shown for convenience).If component is electrostatic chuck, the electrode on substrate has the electrical connection for going to voltage source.Component can With on the top surface of substrate supports.At least a portion of electrical connection can be by the main body of substrate supports, such as November 3 in 2011 The U.S. Patent application No.US 61/555 that day submits to, as described in 359, the full content of the patent is herein by quoting It is incorporated herein.
In one embodiment, one or more local heaters 101 are controlled by controller 103, with to substrate holder 100 and substrate W provides desired heat, so as to control the temperature of substrate W.One or more temperature sensors 102 are connected to control The temperature of device processed 104, the controller 104 monitoring substrate holder 100 and/or substrate W.In Co-pending U.S. Patent Application Describe partly to control substrate using one or more heaters and temperature sensor in open No.US 2012-0013865 The arrangement of temperature, the full content of the document is incorporated herein by reference herein.The arrangement described in it can be changed to utilize Capacitive character heater described herein and temperature sensor.Other of pellicular cascade including its component and its manufacture method are thin prominent Save the U.S. Patent application No.US13/403,706 that was submitted on 2 23rd, 2012 and on April 9th, 2012 submits to it is common not U.S. Patent application No.US 61/621 certainly, is given in 648, and the full content of above-mentioned document is incorporated herein by reference this Text.
For the substrate holder that is used in conventional (such as DUV) lithographic equipment (such as immersion lithographic apparatus) ideally It is provided with one or more film temperature sensors and/or one or more thin film heaters.Can be in substrate holder, lining On the retainer of bottom and/or substrate holder lower section provides the sensor and/or heater of other forms.
Substrate holder for being used in EUV lithography equipment is ideally provided with film electrostatic chuck and optional Or multiple film temperature sensors and/or one or more thin film heaters.Can be in substrate holder, on substrate holder And/or substrate holder lower section provides the sensor and/or heater of other forms.
As previously described, it is possible to use laser sintered to form knuckle.The method is illustrated in Figure 10 A to Figure 10 E, and is opened Start from the flat board of the intended shape of the main body 400 to form substrate holder.The flat board can be pre-formed by another technology. In one embodiment, the plate is formed by SiSiC, but can use one or more of the other material such as InvarTM、 ZerodurTM、ULETM, fused silica, cordierite, boron nitride, silicon nitride, aluminium nitride (AlN) and/or SiC.Desirably, the plate Surface 400a ground connection and/or be polished to desired planarization.In one embodiment, for example using the ozone clean table Face, but the step can be omitted.In one embodiment, treatment surface 400a is with for example by applying bed material (primer) layer rush Enter the adhesion of one or more subsequent layers, but the step can be omitted.Onboard, separation layer 410 is applied with will be to be formed on it One or more metal levels of top are isolated with the main body of substrate holder.In one embodiment, separation layer 410 improves flat Degree.Separation layer 410 can be by the BCB applied as described above by the spin coating or spraying or SiO by pecvd process applying2Or Other suitable materials are made.On the top of separation layer, such as by PVD coating metal layer 440, to reach shown in Figure 10 A Situation.
Then, metal level is patterned by photoetching and selective etch (such as wet etching) for example, to limit the phase The pattern of prestige, so that expectation component is formed, such as electrode, sensor or heater.The step is also removed wherein will then walked The metal level in the region of knuckle is formed in rapid.In the stage, substrate holder is as shown in Figure 10 B.
On metal level after patterning, coating is isolated or dielectric layer 450, and is formed in the position for expecting knuckle logical To main body or the opening of basic unit (i.e. by both separation layers).Substrate holder is present as illustrated in figure 10 c.Alternatively, such as profit With the exposed area 400b on the surface of ozone clean main body 400, and/or for example by the coating processing main body 400 of initial bed The exposed area 400b on surface, to promote in the adhesion of the knuckle for subsequently forming.
Now, knuckle 406 is formed by laser sintering process in the opening by pellicular cascade.Can be parallel with knuckle Ground forms the other structures on substrate holder, such as vacuum ring.One or more protrusions can also be formed between knuckle, this A little protrusions are more shorter than knuckle but with bigger area.Such prominent heat transfer improved between substrate and substrate holder. Such protrusion for example can be 10 μm or more more shorter than knuckle 406.There are two kinds of laser sintering processes, this two The method of kind is all usable.
In first method, thin layer powder is applied to the region that will form knuckle.Then, one or more laser beams are used Optionally to sinter wherein by the powder in the region for forming knuckle.When these are completed, apply and selectively heat and Sinter another thin layer powder.Repeat these so that successively build knuckle.In one embodiment, each layer have from 1 μm to Thickness in the range of 1.5 μm.Due to sintering pattern can be changed at every layer, it is possible to which building knuckle has any desired Shape and/or section.In the method, powder can be applied over a large area while or concurrently forming multiple knuckles. It is alternatively possible to applied to more small area and apply last and be separately formed each knuckle.The thin knuckle of other of the technique can be http://laz.htwm.de/43_rapidmicro/55_Ver%C3%B6ffentlichungen/Las er% 20micro%20sintering%20-%20a%20quality%20leap%20through%2 0i " the Laser micro of disclosed A Streek et al. at mprovement%20of%20powder%20packing.Pdf Found in sintering-a quality leap through improvement of powder packing ".
In the second approach, on the region that will form knuckle, the pulverized powder in inert gas, while or many The exact position of individual bombardment with laser beams knuckle to be formed.Powder is selectively adhered to the position of bombardment with laser beams.By appropriate Ground displacement radiant, can build the knuckle for expecting section.The thin knuckle of other of the technique can be in http:// It is public at laz.htwm.de/43_rapidmicro/55_Ver%C3%B6ffentlichungen/Mic ro clad 10.pdf In " the MICRO-CLADDING USING A PULSED FIBRE LASER AND SCANNER " of the S.Kloetar for opening et al. Find.
It is laser sintered to be worked by partly melted powder particle on other sintering technologies so that they are in cooling When adhere to each other.Laser sintered to have an advantage in that, the controlled applying of laser beam allows to carry out the place for sintering Space controls.In above-mentioned two method, powder can be pre-heated to the temperature close to relative melt points so that need laser beam Apply less energy to complete sintering.Various materials can be used in sintering technology.Powder can be by single material Material is formed, such as metal of such as titanium etc, the semiconductor of such as silicon etc or such as fused silica, cordierite and/or nitridation The ceramics of aluminium etc.In one embodiment, powder is made up of two or more components.A kind of component has relatively low melting Point, it is melted the matrix that another particulate constituent is wherein embedded in be formed.The matrix of powder forms component and can be provided as individually Particle or another material particle on coating.It can be any one in above-mentioned homogenous material that matrix forms compound. Particulate constituent can select one or more components such as the following group, and the group includes cubic boron nitride, silicon nitride, carborundum, nitrogen Change titanium, titanium carbide and/or diamond, such as diamond-like-carbon (DLC).Sintering process can be held in inert atmosphere or vacuum OK, to help prevent the chemical change of sintering or the material in controlled atmosphere, to promote chemical change.
Therefore, it is possible to the material by knuckle is formed is selected from broad range of material, to provide desired characteristic, such as To the adhesion strength of the matrix material of substrate holder.Desirably, knuckle is by the material of main part identical material with substrate holder Material is made or is made by with the compatible material of the material of main part of substrate holder.Often, for example, it is desirable to knuckle is fully bonded To the base material of substrate body, to provide the life-span in use and robustness.In some applications, it may be desirable to knuckle have it is highly thermally conductive Rate is with the temperature adjustment of additional substrate.In other applications, lower thermal conductivity can be desired, so as to isolation liner bottom.Can lead to Cross material selection realize other about characteristic knuckle include electric conductivity, dielectric strength and wearability.
Laser sintering technology for forming knuckle typically results in the roughened upper surface of knuckle as shown in fig. 10d.If So, then expect to perform final polishing step so that provide smooth upper surface to the knuckle shown in Figure 10 E.In some cases, If for example performing final polishing using coarse grain lapping liquid, may expect first with additional coatings protective film lamination.So And, this is not usually necessary, such as in the case where pellicular cascade is only comprising the electrode being used for gripping purposes.
Another advantage of laser sintering process is that it allows the composition of knuckle to change on its height.Therefore can make Make layer or partial knuckle with one or more heterogeneities and/or characteristic as shown in figure 11.For example, the bottom of knuckle 406a can be formed by the material of the intact matrix material for being bonded to substrate holder, and the top 406b of knuckle is by for example having The material of the wearability of improvement is formed.(noting, it is possible to use the technologies different from knuckle are made matrix) is for example, can be in knuckle Top 406b include the particle of the such as diamond of diamond-like-carbon (DLC) etc with improve wearability.Alternatively, Buddha's warrior attendant Stone particle (such as DLC) can be included in the 406a of bottom to improve thermal conductivity.In one embodiment, knuckle is formed with and is more than The discrete layers of two.In one embodiment, knuckle is formed through at least a portion of its height in composition, content or material Being changed stepwise in characteristic.
Can also change the composition of the powder for sintering in the surface direction that is substantially parallel formed with knuckle.In sintering Powder layer method in, this can be realized by changing the composition of powder in the every layer of powder for applying.In powderject side In method, this synchronously can change the composition of pulverized powder to realize by the traveling time with laser emission point.Alternatively remove Outside change in short transverse, the material composition that knuckle is changed in the formed surface direction that is substantially parallel can be permitted Perhaps knuckle one or more machinery and other characteristics such as rigidity on precise controlling.
The advantage of the embodiment of the present invention is that knuckle could be formed with almost arbitrary shape in three directions.At one In embodiment, knuckle has constant cross-section on its whole height.In one embodiment, master of the knuckle away from substrate holder Body ground possibly tapered.In one embodiment, the section of knuckle is with height change.In one embodiment, knuckle has and substrate The substantially parallel section of the body surfaces of retainer, the section is selected from includes circular, square, rectangle, ellipse, rhombus and " race The group in road " or " stadium " shape." runway " or " stadium " shape has two by curve (such as semicircle) engagement Straight parallel sides.
In one embodiment, only pellicular cascade is provided on the side of substrate holder.In one embodiment, in lining There is provided without pellicular cascade on the retainer of bottom.In one embodiment, pellicular cascade is provided on the both sides of substrate holder.One In individual embodiment, knuckle is provided on the both sides of substrate holder.If providing knuckle on the both sides of substrate holder, It is not required using the same procedure for forming knuckle on both sides.
In the case where the controlled exposure between apparatus assembly and object to be manipulated is expected, implementation of the invention can be used Example on other components in the lithographic apparatus forms knuckle.
Figure 12 shows this hair as the supporting construction (such as supporting the mask table MT of mask MA) for patterning devices Bright embodiment.It is contrasted with substrate table, the main body 500 of mask platform is provided with through hole 501, for passing through for projected bundle B.Mask MA is supported by by the laser sintered knuckle region 502 formed in mask platform 500.Mask MA is supported to have and make using knuckle With the similar function of the substrate on knuckle support substrate platform or retainer.For example, knuckle can prevent or reduce mask due to There is particle and misalignment or the chance of distortion between the surface of mask and support mask.Knuckle can allow use vacuum and/or Mask is clamped to mask platform or other supporting constructions by electrostatic clamp technology.Due in most of lithographic equipment, optical projection system PS reduces the factor of projected image 4 or 5, in the scan pattern of operation, under big 4 or 5 times of speed and acceleration than substrate table Mobile mask.Therefore, quite bigger chucking power should be applied.Desirably, with so that not producing in the mask or producing minimum answering The mode of power keeps mask on the support structure.The use of knuckle can aid in the purpose.
In fig. 12, show that knuckle is provided in the separate areas of the either side in aperture 501.In one embodiment, may be used In the continuum entirely around aperture or providing provide knuckle in multiple positions for separating around aperture.Can be in reality Apply the exact position of determination knuckle in the construction of example.It is used to support the knuckle of mask for example in mask platform or mask controlling equipment Can have the diameter from 100 μm to 1 μm, preferably 300 μm to 500 μm.Knuckle can have elongated shape in the planes, all Such as oval or rectangle.
In one embodiment, by laser sintered formation knuckle on the clip of mask or mask.The clip can be with It is the hierarchy of such as ULE-Zeodur-ULE or pellicular cascade-Zerodur- pellicular cascades.Such clip can have The thickness of about 10mm.Knuckle can have the spacing from 2mm to 10mm.
Figure 13 depicts the supporting construction of patterning devices according to embodiments of the present invention, such as mask or mask in the planes Version.Figure 14 depicts the same support structure in the section along the line XX of Figure 13.Supporting construction includes support member 512, passes through Leaf spring 513 supports the support member 512 on main body 510.The upper surface of support member 512 has by the region of knuckle 106 Around central concave 515.Central concave 515 is connected to low pressure (such as vacuum) source 517 via conduit 516.
In the patterning devices of such as mask MA etc are placed in support member 512 and reduce central concave 515 During pressure, patterning devices are safely clamped in the original location.By means of leaf spring 513, fully limit support member 512 with composition Position on the substantially vertical direction in the surface of equipment MA.However, support member 512 is allowed in the surface base with patterning devices MA This at least one parallel side moves up.This means it assists in ensuring that no appearance or appearance are minimum in patterning devices MA Stress.Such stress is undesirable, because they may make the pattern distortions that patterning devices MA is limited.By laser sintered Setting of the knuckle 106 of formation in support member 512 is assisted in ensuring that and is accurately positioned patterning devices MA.
Figure 15 illustrates substrate conveying arm according to an embodiment of the invention or clamper 600.Substrate conveying arm 600 Determined by one or more actuator (not shown).Substrate conveying arm 600 be used for for example loading storehouse and prealignment platform between, Substrate is transmitted between prealignment platform and substrate table and/or between substrate table and unloading storehouse.In the track of lithographic cell (track) using similar conveying equipment, or patterning devices (example can be moved using similar conveying equipment in part Such as mask).Substrate conveying arm 600 is included in horizontal plane paired finger piece spaced intermediate or sharp shaped material (prong) 601.Upper surface of each sharp shaped material 601 or part thereof is provided with the region 602 with the knuckle by laser sintered formation. Can be with one or more advantages in advantage same as described above, it is, for example real using knuckle on substrate conveying arm The use of existing vacuum and/or electrostatic clamp technology and/or prevent the particulate from distort substrate.
Figure 16 shows wherein substrate holder of the invention and substrate table WT integrated one embodiment.Retainer WT has Main body 700, being formed with main body 700 in it can accommodate the depression 701 of substrate W.The lower surface of depression 701 is provided with by upper State the knuckle 106 of laser sintered formation.It is determined that the height of the depth of depression 701 and knuckle 106, to assist in ensuring that substrate W's is upper Surface is substantially coplanar with the upper surface of main body 700.In this way, due to that will perform to the exposure on substrate W, so being arranged on lining One or more sensors of such as transmission imageing sensor TIS in base frame WT can enter in essentially identical upright position Row measurement.
The advantage of the embodiment of the present invention is, can be on than the wider surface of method of previously known formation knuckle Reliably and accurately form knuckle.Therefore, it is possible to set on the component of knuckle prominent would prove unsuitable for be set by previous technology Section, such as previous technology such as material removal technique, electro-discharge machining (EDM).
Another advantage is that method described herein can individually or synthetically be used to repair knuckle.It is described below The two methods of reparation knuckle according to embodiments of the present invention.These methods can be used for repairing by dashing forward that any means are formed Section, without the knuckle simply by laser sintered formation.
In restorative procedure according to embodiments of the present invention, each knuckle is repaired, this is illustrated in Figure 17 A to Figure 17 C The step of method.As shown in Figure 17 A, object retainer 800 has multiple knuckles 801 and damages knuckle 802.Damage knuckle 802 With the roughened upper surface 802a for for example being caused by abrasion or physical damage.Damage knuckle further to grind downwards, to provide such as Smooth surface 802b shown in Figure 17 B.Interim protective coating can be provided during the technique to cover unmarred knuckle 801.If desired, lapped face 802b can be carried out into pre- place for example using ozone clean and/or for example by applying bed material Reason.Next knuckle is reconstructed into its original-shape and/or height using laser sintering technology as described above (or different uncommon Hope shape and/or height).This can be related to by the knuckle 803 of reparation be built into higher than final design height height and to The horizontal plane of the polished back height that knuckle 801 is not damaged to matching, as shown in Figure 17 C.
In another restorative procedure, while the step of repairing the multiple knuckles 901 on object retainer 900, the method exists Describe in Figure 18 A to Figure 18 C.The periodicity that the method is particularly well-suited to object retainer is restored, to consider abrasiveness, rather than Solve the isolated cases for damaging.The method can be used for adjusting the height of knuckle with the operating parameter for adapting to change, for example, treat The thickness change of the substrate of exposure.
In the method for the present embodiment, the upper surface of the knuckle 901 shown in Figure 18 A alternatively for example can be entered using ozone Row cleaning is for example prepared by applying bed material.Then by laser sintering process as described above, on knuckle 901 Build extra play 902.In one embodiment, extra play 902 has thickness of the scope from 1 μm to 5 μm, preferably 2 μm to 3 μm.In most cases, this will provide the roughened upper surface 902a shown in Figure 18 B, and it is in higher than the finally expectation of knuckle On the height of Du Genggao.Then to polished back knuckle providing expectation flatnesses and expectation of the top surface 902b on Desired Height Roughness, as shown in figure 18 c.
Direct benefit except providing the method for repairing knuckle, that is, damaging component does not always need to replace, recovery technique In the presence of enabling to knuckle to be formed directly on following component, for the component, in the event for damaging one or more knuckles To not expect economically to replace the component.
Embodiments of the invention are implemented advantageously in and knuckle are used on the component of lithographic equipment, the component such as substrate table, Place in supporting construction, mask platform, chip control device, mask control device, clamper, prealignment platform, the track of patterning devices Reason equipment, substrate Manipulation of the machine people, adjustable plate, substrate adjustment unit and/or sensor base.No matter object will keep or install Accurate location where, can be set using the knuckle of manufacture according to embodiments of the present invention, the object such as substrate, composition Standby, optical element or sensor.May be mounted at the sensor on the knuckle of formation according to embodiments of the present invention can include passing Defeated imageing sensor and/or interference gap sensor.
The advantage of the embodiment of the present invention is, it can be than the subtractive process technology of such as electro-discharge machining etc more accurately shape Into knuckle.Using embodiments of the invention, knuckle can be reliably and accurately formed.That loses in a manufacturing process or damage appoints Meaning knuckle can easily be added or repaired.In embodiment, master can be made by the technology different from the knuckle for being formed thereon Body.
As will be recognized, the arbitrary characteristics in features described above can be used together with any other feature, and Not exclusively those combinations being expressly recited of the application covering.
Although lithographic equipment use in ic manufacturing can be specifically related to herein, it will be appreciated that, retouch here The lithographic equipment stated can have has minute yardstick or the other application even in the component of nanoscale features in manufacture, all Such as magnetic domain memory, flat-panel monitor, liquid crystal display (LCD), the integrated optics system of film magnetic head, guidance and inspection The manufacture of survey pattern.It would be recognized by those skilled in the art that in the context of this alternative application, can be by term " chip " Or " nude film " it is any synonymous with more generic term " substrate " or " target part " respectively using being thought of as.Substrate described herein Can before exposure or after, for example (work of the resist of resist layer and exposure of developing typically is coated to substrate in track Tool), processed in measurement facility and/or checking tool.Under applicable circumstances, the disclosure herein goes for this Sample and other substrate processing tools.Furthermore, it is possible to by substrate processing repeatedly, such as to create multilayer IC, so herein The term substrate for using can also refer to the substrate of included multiple process layers.
Terms used herein " radiation " and " beam " cover all types of electromagnetic radiation, including ultraviolet (UV) radiation (such as with the wavelength of about 365nm, 248nm, 193nm, 157nm or 126nm).
Under where the context permits, term " lens " may refer to various types of optical modules any one or Any combination, including refraction and reflection optical module.
Although the particular embodiment of the present invention is described above, it will be appreciated that except aforesaid way, can with The present invention for otherwise implementing apparatus operation method form at least described here.For example, at least apparatus operation method form The embodiment of the present invention can using the machine readable instructions comprising one or more sequences one or more computer programs Or the shape of the data storage medium (such as semiconductor memory, disk or CD) of this computer program that is wherein stored with The method that the machine readable instructions of formula, said one or multiple sequences describe aforesaid operations equipment.Additionally, the machine readable instructions Can be realized in two or more computer programs.Two or more computer programs can store it is one or more not With on memory and/or data storage medium.
When reading this by one or more computer processors at least one component of lithographic equipment Or during multiple computer programs, any controller described herein can be operated alone or in combination.Controller can individually or Have in combination for receiving, process and sending signal any suitable configuration.One or more multiprocessors be configured to At least one of controller communicates.For example, each controller can include for perform computer program one or more Processor, the computer program includes the machine readable instructions for aforesaid operations device, method.Controller can include being used for Store the data storage medium of this computer program and/or be used to receive the hardware of this medium.So controller can be with root Operated according to the machine readable instructions of one or more computer programs.
It is (such as straight that embodiments of the invention go for the width with 300mm or 450mm or any other sizes Footpath) substrate.
No matter immersion liquid is set only in the local surface areas of substrate in bath form, substrate is still not only restricted to And/or on substrate table, one or more embodiments of the invention may be suitable for any immersion lithographic apparatus, particularly above-mentioned Those types, but it is not excluded for other types.In unrestricted arrangement, immersion liquid can be on substrate and/or the surface of substrate table Upper flowing, so substrate table and/or the basic of substrate entirely do not cover surface wet.In such not limited immersion system, Liquid-supplying system can not limit immersion liquid, or can provide the ratio that immersion liquid is limited, but substantially imperfect limit Immersion liquid processed.
Answer broad interpretation expected liquid-supplying system here.In a particular embodiment, it can be provided the liquid into The mechanism of the structure in space between optical projection system and substrate and/or substrate table is combined.It can include providing liquid To one or more structures in the space, one or more liquid inlets, one or more gas accesses, one or more gas Body outlet and/or the combination of one or more liquid outlets.In one embodiment, the surface in space can be substrate and/or A part for substrate table, or the surface in space can be completely covered the surface of substrate and/or substrate table, or the space can be with Encapsulating substrate and/or substrate table.Liquid-supplying system can alternatively further include for control liquid position, quantity, One or more elements of quality, shape, flow velocity or any other feature.
In the first aspect of the present invention, there is provided have a kind of manufacture for the object retainer that uses in the lithographic apparatus Method, the method includes:Main body with surface is provided;And forming multiple knuckles on said surface, the knuckle is from institute State surface to protrude and with the end surfaces of support object, wherein forming at least a portion of at least one knuckle of the knuckle Including laser sintered.
It is laser sintered to include:Apply powder bed to the surface;And optionally radiated using radiation beam described Powder bed, to cause at least part of melting of the powder at radiation position.In one embodiment, it is laser sintered can be with Including:Radiation position on said surface;And at the radiation position pulverized powder.
Main body can be formed by the material different from least one knuckle.At least one knuckle can include selected from include with Under group at least one material:Ti, Si, quartz, cordierite, diamond-like-carbon, SiC, SiO of fusing2, AlN, TiN and CrN.At least one knuckle is formed by host material and the particle being embedded in the host material.Host material can include choosing From including at least one material in following group:Ti, Si, quartz, cordierite, diamond-like-carbon, SiC, SiO of fusing2、 AlN, TiN and CrN.Particle can be included selected from least one material included in following group:Cubic boron nitride, silicon nitride, Carborundum, titanium nitride, titanium carbide and diamond.
At least one knuckle can include the ground floor of the first material and the second layer of the second material, and second material is not It is same as first material.First material and second material can be different in property or component.
In one embodiment, described pair as if substrate or patterning devices.Pellicular cascade is set on said surface, it is described Multiple knuckles are further protruded compared with the pellicular cascade from the surface.
In the second aspect of the present invention, there is provided there is a kind of object with knuckle repaired for using in the lithographic apparatus The method of retainer, the method includes:Prepare knuckle to be repaired;Apply material to knuckle to be repaired by laser sintered Layer;And polish the material layer.
It is described in the third aspect of the present invention, there is provided have a kind of object retainer for using in the lithographic apparatus Object retainer includes:Main body with surface;And multiple knuckles, set on said surface and with support object End surfaces, wherein at least one of knuckle at least a portion is by laser sintered formation.
In one embodiment, main body is formed by the different materials of the part with knuckle.At least one knuckle includes being selected from At least one material in including following group:Ti, Si, quartz, cordierite, diamond-like-carbon, SiC, SiO of fusing2、AlN、 TiN and CrN.At least one knuckle is formed by host material and the particle being embedded in the host material.Host material can be with Including selected from least one material in including following group:Ti, Si, fusing quartz, cordierite, diamond-like-carbon, SiC, SiO2, AlN, TiN and CrN.Particle can be included selected from least one material included in following group:Cubic boron nitride, nitrogen SiClx, carborundum, titanium nitride, titanium carbide and diamond.
At least one knuckle can include the ground floor of the first material and the second layer of the second material, and second material is not It is same as first material.First material and second material can be different in property or component.At least one dashes forward Section can have the substantially invariable section substantially parallel with surface.At least one knuckle may be located remotely from the surface possibly tapered.Extremely A few section for being basically parallel to the surface of knuckle can be selected from includes following group:Circular, square, rectangle, ellipse, Rhombus and " runway " or " stadium " shape.Main body can be included selected from least one material included in following group:Crystallite Glass, cordierite, SiC, SiSiC, AlN, invar, ceramics and glass ceramics.
Object retainer is constructed and arranged to support as object selected from following at least one:Substrate, patterning devices, Sensor and optical element.As if substrate and object retainer is substrate holder in one embodiment, pair.Substrate keeps Device can have the diameter of substantially equal to 200mm, 300mm or 450mm.Object can be patterning devices, and object retainer It is the supporting construction of patterning devices.Object retainer can be mask clamp bar.Object retainer can be substrate holder.Film Lamination can be set on the surface, and multiple knuckles are further protruded from the surface compared with pellicular cascade.
In the fourth aspect of the present invention, there is provided have a kind of lithographic equipment, including:Supporting construction, is configured to support composition Equipment;Projection system, is arranged to be projected on substrate by the beam of the patterning devices composition;And substrate holder, cloth The holding substrate is set to, the substrate holder is the substrate holder of feature according to a third aspect of the present invention.
Lithographic equipment can include substrate table, and wherein substrate holder is integrated into substrate table.
In the fifth aspect of the present invention, there is provided have a kind of platform for using in the lithographic apparatus, this includes:It is main Body, with surface;Multiple knuckles, on a surface and with the end surfaces of support object such as substrate, wherein knuckle is logical Cross laser sintered formation.
In the sixth aspect of the present invention, there is provided have a kind of lithographic equipment, including:Supporting construction, is configured to support composition Equipment;Projection system, is arranged to be projected on substrate by the beam of the patterning devices composition;And according to the present invention the 5th The platform of aspect.
In the seventh aspect of the present invention, there is provided there is a kind of device making method of use lithographic equipment, the method includes: Substrate is maintained at while on substrate holder, will be projected on substrate by the beam of patterning devices composition, wherein substrate is protected Holder includes:Main body with surface;And there are multiple knuckles of the end surfaces of support substrate than the past on a surface, its Middle knuckle is by laser sintered formation.
Above description is intended to diagram, and is not limited.Thus, it will be appreciated by one of skill in the art that, can be with In the case of without departing from the scope of the claim being listed below, the present invention is modified.

Claims (15)

1. a kind of method manufactured for the object retainer for using in the lithographic apparatus, methods described includes:
Main body with flat surfaces is provided;And
Multiple knuckles are formed on the flat surfaces, the knuckle is protruded and with support object from the flat surfaces End surfaces, wherein at least a portion for forming at least one knuckle of the knuckle include it is laser sintered, and described at least one Individual knuckle includes the ground floor of the first material and the second layer of the second material, and second material is different from first material, And at least one knuckle is formed through at least a portion of its height in composition, content or material property progressively Change.
2. method according to claim 1, wherein it is described it is laser sintered including:
Apply powder bed to the flat surfaces;And
The powder bed is optionally radiated using radiation beam, to cause at least partly molten of the powder at radiation position Melt.
3. method according to claim 1, wherein it is described it is laser sintered including:
Radiation position on said surface;And
The pulverized powder at the position for being radiated.
4. method according to claim 1, wherein the main body is formed by the material different from least one knuckle.
5. method according to claim 1, wherein the main body is formed by with least one knuckle identical material.
6. the method according to any one in claim 1 to 5, wherein at least one knuckle include it is following selected from including Group at least one material:Ti, Si, fusing quartz, cordierite, diamond-like-carbon, SiC, SiO2, AlN, TiN and CrN。
7. the method according to any one in claim 1 to 5, wherein at least one knuckle is by host material and insertion Particle in the host material is formed.
8. the method according to any one in claim 1 to 5, wherein first material and second material are in property Or in component be different.
9. the method according to any one in claim 1 to 5, wherein the object is substrate or patterning devices.
10. the method according to any one in claim 1 to 5, wherein pellicular cascade is set on said surface, it is described many Individual knuckle is further protruded compared with the pellicular cascade from the surface.
A kind of 11. object retainers for using in the lithographic apparatus, the object retainer includes:
Main body with flat surfaces;And
Multiple knuckles, are set on said surface and with the end surfaces of support object,
At least a portion of at least one knuckle of wherein described knuckle by laser sintered formation, and described at least one prominent Section includes the second layer of the ground floor of the first material and the second material, and second material is different from first material, and At least one knuckle is formed through progressively change of at least a portion of its height in composition, content or material property Change.
12. object retainers according to claim 11, wherein the object retainer is configured and arranged to support conduct The object selected from following at least one:Substrate, patterning devices, sensor and optical element.
The 13. object retainer according to claim 11 or 12, wherein pellicular cascade is set on the flat surfaces, institute Multiple knuckles are stated further to be protruded from the flat surfaces compared with the pellicular cascade.
14. object retainers according to claim 11, wherein the object retainer be at least it is following in one kind:Lining The substrate holder at bottom;The supporting construction of patterning devices;The mask clamp bar of mask;Or the substrate holder of substrate.
A kind of 15. lithographic equipments, including:
Supporting construction, is configured to support patterning devices;
Projection system, is arranged to be projected on substrate by the beam of the patterning devices composition;And
Substrate holder, is arranged to keep the substrate, and the substrate holder is substrate holder according to claim 14.
CN201380007834.9A 2012-02-03 2013-01-17 The method of substrate holder and manufacture substrate holder Active CN104081285B (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201261594857P 2012-02-03 2012-02-03
US61/594,857 2012-02-03
US201261621648P 2012-04-09 2012-04-09
US201261621660P 2012-04-09 2012-04-09
US61/621,648 2012-04-09
US61/621,660 2012-04-09
PCT/EP2013/050826 WO2013113569A1 (en) 2012-02-03 2013-01-17 Substrate holder and method of manufacturing a substrate holder

Publications (2)

Publication Number Publication Date
CN104081285A CN104081285A (en) 2014-10-01
CN104081285B true CN104081285B (en) 2017-06-13

Family

ID=47561633

Family Applications (5)

Application Number Title Priority Date Filing Date
CN201811239416.3A Active CN109298602B (en) 2012-02-03 2013-01-17 Substrate holder and lithographic apparatus
CN201380007715.3A Pending CN104272190A (en) 2012-02-03 2013-01-17 Substrate holder and lithographic apparatus
CN201811325912.0A Pending CN109254501A (en) 2012-02-03 2013-01-17 Substrate support, lithographic equipment, device making method and the method for manufacturing substrate holder
CN201380007834.9A Active CN104081285B (en) 2012-02-03 2013-01-17 The method of substrate holder and manufacture substrate holder
CN201811326560.0A Pending CN109375474A (en) 2012-02-03 2013-01-17 Substrate support, lithographic equipment, device making method and the method for manufacturing substrate holder

Family Applications Before (3)

Application Number Title Priority Date Filing Date
CN201811239416.3A Active CN109298602B (en) 2012-02-03 2013-01-17 Substrate holder and lithographic apparatus
CN201380007715.3A Pending CN104272190A (en) 2012-02-03 2013-01-17 Substrate holder and lithographic apparatus
CN201811325912.0A Pending CN109254501A (en) 2012-02-03 2013-01-17 Substrate support, lithographic equipment, device making method and the method for manufacturing substrate holder

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201811326560.0A Pending CN109375474A (en) 2012-02-03 2013-01-17 Substrate support, lithographic equipment, device making method and the method for manufacturing substrate holder

Country Status (7)

Country Link
US (12) US9507274B2 (en)
EP (4) EP3683627A1 (en)
JP (7) JP6085616B2 (en)
KR (1) KR101652782B1 (en)
CN (5) CN109298602B (en)
NL (2) NL2010139A (en)
WO (2) WO2013113568A2 (en)

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
EP2490073B1 (en) 2011-02-18 2015-09-23 ASML Netherlands BV Substrate holder, lithographic apparatus, and method of manufacturing a substrate holder
NL2008630A (en) 2011-04-27 2012-10-30 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
NL2009858A (en) 2011-12-27 2013-07-01 Asml Netherlands Bv Substrate holder, lithographic apparatus, and device manufacturing method.
EP3683627A1 (en) * 2012-02-03 2020-07-22 ASML Netherlands B.V. Substrate holder and lithographic apparatus
US9939737B2 (en) * 2013-01-22 2018-04-10 Asml Netherlands B.V. Electrostatic clamp
EP3129833B1 (en) 2014-04-09 2018-05-02 ASML Netherlands B.V. Apparatus for cleaning an object
US9726987B2 (en) 2014-04-17 2017-08-08 Nikon Corporation Positioning system using surface pattern recognition and interpolation
US11061338B2 (en) 2014-04-17 2021-07-13 Nikon Corporation High-resolution position encoder with image sensor and encoded target pattern
US10812695B2 (en) 2015-09-14 2020-10-20 Nikon Corporation Three-dimensional positioning system using surface pattern recognition and interpolation
TWI656596B (en) * 2014-08-26 2019-04-11 荷蘭商Asml控股公司 Electrostatic clamp and manufacturing method thereof
JP2017537480A (en) 2014-11-23 2017-12-14 エム キューブド テクノロジーズM Cubed Technologies Manufacture and repair of wafer pin chuck
EP3262677A1 (en) * 2015-02-23 2018-01-03 M Cubed Technologies Inc. Film electrode for electrostatic chuck
TWI647787B (en) * 2015-04-02 2019-01-11 日商愛發科股份有限公司 Adsorption method and vacuum processing method
WO2016164498A1 (en) * 2015-04-06 2016-10-13 M Cubed Technologies, Inc. Article having diamond-only contact surfaces
US9682441B2 (en) * 2015-06-01 2017-06-20 Caterpillar Inc. Laser polishing system and method for metal face seal
DE102015211017B4 (en) * 2015-06-16 2017-06-14 Trumpf Werkzeugmaschinen Gmbh + Co. Kg Method for unloading a cut-free part of the workpiece, associated laser cutting machine and computer program product
US10453734B2 (en) 2015-07-02 2019-10-22 Asml Netherlands B.V. Substrate holder, a lithographic apparatus and method of manufacturing devices
EP3334560B1 (en) 2015-08-14 2023-09-13 M Cubed Technologies Inc. Method for removing contamination from a chuck surface
WO2017030873A1 (en) 2015-08-14 2017-02-23 M Cubed Technologies, Inc. Wafer chuck featuring reduced friction support surface
US10953513B2 (en) 2015-08-14 2021-03-23 M Cubed Technologies, Inc. Method for deterministic finishing of a chuck surface
WO2017030874A1 (en) 2015-08-14 2017-02-23 M Cubed Technologies, Inc. Machine for finishing a work piece, and having a highly controllable treatment tool
CN109791363B (en) 2016-07-06 2021-01-08 Asml荷兰有限公司 Substrate holder and method of manufacturing a substrate holder
US20180019139A1 (en) * 2016-07-12 2018-01-18 Ayar Labs, Inc. Wafer-Level Etching Methods for Planar Photonics Circuits and Devices
WO2018022670A1 (en) * 2016-07-26 2018-02-01 M Cubed Technologies, Inc. Methods for masking a pin chuck, and articles made thereby
EP3364247A1 (en) 2017-02-17 2018-08-22 ASML Netherlands B.V. Methods & apparatus for monitoring a lithographic manufacturing process
KR102206687B1 (en) * 2017-06-26 2021-01-22 니뽄 도쿠슈 도교 가부시키가이샤 Substrate holding member
JP7096031B2 (en) * 2017-06-26 2022-07-05 日本特殊陶業株式会社 Board holding member
CN107275276B (en) * 2017-07-12 2019-09-03 成都海威华芯科技有限公司 A kind of wafer jig production method suitable for semicon industry
US10646960B2 (en) * 2017-10-03 2020-05-12 Lawrence Livermore National Security, Llc Compact absorptivity measurement system for additive manufacturing
EP3707559B1 (en) 2017-11-08 2023-04-19 ASML Netherlands B.V. A substrate holder and a method of manufacturing a device
WO2019096554A1 (en) * 2017-11-20 2019-05-23 Asml Netherlands B.V. Substrate holder, substrate support and method of clamping a substrate to a clamping system
JP7164605B2 (en) 2017-12-13 2022-11-01 エーエスエムエル ネザーランズ ビー.ブイ. Substrate holder for use in lithographic equipment
US10921718B2 (en) 2017-12-15 2021-02-16 Nikon Corporation Two-dimensional position encoder
US11520241B2 (en) 2017-12-20 2022-12-06 Asml Holding N.V. Lithography supports with defined burltop topography
WO2019236104A1 (en) * 2018-06-08 2019-12-12 Hewlett-Packard Development Company, L.P. Powder layer former
US11101617B2 (en) 2018-07-16 2021-08-24 Ayar Labs, Inc. Wafer-level handle replacement
JP7150510B2 (en) * 2018-07-30 2022-10-11 日本特殊陶業株式会社 electrostatic chuck
US11426818B2 (en) 2018-08-10 2022-08-30 The Research Foundation for the State University Additive manufacturing processes and additively manufactured products
CN109049445B (en) * 2018-09-19 2023-09-08 河北科技大学 High-precision optical fiber ring curing and packaging equipment and treatment process
CN113302556A (en) * 2018-12-28 2021-08-24 Asml荷兰有限公司 Substrate holder for a lithographic apparatus and method of manufacturing a substrate holder
NL2024815A (en) * 2019-02-19 2020-08-27 Asml Holding Nv Laser roughening: engineering the roughness of the burl top
WO2020177971A1 (en) * 2019-03-01 2020-09-10 Asml Netherlands B.V. Object holder comprising an electrostatic clamp
DE102019108855B4 (en) * 2019-04-04 2020-11-12 Berliner Glas Kgaa Herbert Kubatz Gmbh & Co. Electrostatic holding device with a layer composite electrode device and method for its production
CN111817529A (en) * 2019-04-11 2020-10-23 三赢科技(深圳)有限公司 Manufacturing method of composite mirror base, voice coil motor and electronic product
WO2020232222A1 (en) * 2019-05-16 2020-11-19 Dragonfly Energy Corp. Systems and methods for dry powder coating layers of an electrochemical cell
NL2025510A (en) * 2019-05-24 2020-11-30 Asml Holding Nv Lithographic apparatus, substrate table, and method
KR102261935B1 (en) * 2019-09-25 2021-06-07 (주)탑나노시스 Method for repairing working stage
KR102338512B1 (en) * 2019-10-30 2021-12-10 세메스 주식회사 Substrate processing apparatus and substrate processing method
JP2023508199A (en) * 2019-12-26 2023-03-01 エーエスエムエル ホールディング エヌ.ブイ. Manufacture and refurbishment of hard burls for wafer clamps
US11942878B2 (en) * 2020-04-01 2024-03-26 Bvw Holding Ag Microstructured field effect device
CN112643206A (en) * 2020-12-29 2021-04-13 中国科学院长春光学精密机械与物理研究所 Method for inducing super-regular nano-grating by femtosecond laser based on assistance of chromium film
DE102021102154A1 (en) 2021-01-29 2022-08-04 Markus Brzeski Process for the additive manufacturing of 3D objects made of thermoplastic material
US11747741B2 (en) * 2021-03-05 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate stage, substrate processing system using the same, and method for processing substrate
DE102021115970A1 (en) 2021-06-21 2022-12-22 Asml Netherlands B.V. Holding device for holding components and method for producing the holding device
DE102021206512A1 (en) 2021-06-24 2022-12-29 Carl Zeiss Smt Gmbh Method for forming a knob structure, holding device for electrostatically holding a component, and EUV lithography system
EP4174576A1 (en) * 2021-10-29 2023-05-03 ASML Netherlands B.V. Clamp for holding an object and method
EP4220302A1 (en) 2022-01-27 2023-08-02 ASML Netherlands B.V. System for holding an object in a semiconductor manufacturing process, lithographic apparatus provided with said system and method

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5182170A (en) * 1989-09-05 1993-01-26 Board Of Regents, The University Of Texas System Method of producing parts by selective beam interaction of powder with gas phase reactant
US5583736A (en) * 1994-11-17 1996-12-10 The United States Of America As Represented By The Department Of Energy Micromachined silicon electrostatic chuck
US6046426A (en) * 1996-07-08 2000-04-04 Sandia Corporation Method and system for producing complex-shape objects
WO2001045882A2 (en) * 1999-11-16 2001-06-28 Triton Systems, Inc. Laser fabrication of discontinuously reinforced metal matrix composites
US6307620B1 (en) * 1999-04-27 2001-10-23 Canon Kabushiki Kaisha Substrate holding apparatus, substrate transfer system, exposure apparatus, coating apparatus, method for making a device, and method for cleaning a substrate holding section
CN1580956A (en) * 2003-08-12 2005-02-16 Asml荷兰有限公司 Lithographic apparatus and apparatus adjustment method
CN1971430A (en) * 2005-11-08 2007-05-30 Asml荷兰有限公司 Lithographic apparatus and device manufacturing method
TW201133696A (en) * 2009-08-12 2011-10-01 Varian Semiconductor Equipment Platen to control charge accumulation

Family Cites Families (142)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6059104B2 (en) 1982-02-03 1985-12-23 株式会社東芝 electrostatic chuck board
US4551192A (en) * 1983-06-30 1985-11-05 International Business Machines Corporation Electrostatic or vacuum pinchuck formed with microcircuit lithography
FR2592747B1 (en) 1986-01-08 1988-03-18 Alsthom DOUBLE RESONANCE INVERTER
JPH0719831B2 (en) * 1986-10-13 1995-03-06 日本電信電話株式会社 Electrostatic check
JPH03187240A (en) 1989-12-18 1991-08-15 Nikon Corp Electrostatic chuck
JPH04103750A (en) * 1990-08-22 1992-04-06 Nippon Steel Corp Formation of wear resistant coating layer
US5368947A (en) 1991-08-12 1994-11-29 The Penn State Research Foundation Method of producing a slip-resistant substrate by depositing raised, bead-like configurations of a compatible material at select locations thereon, and a substrate including same
JP3258042B2 (en) 1991-08-21 2002-02-18 キヤノン株式会社 Wafer chuck
JP3095514B2 (en) 1992-01-29 2000-10-03 キヤノン株式会社 Substrate holding board
JP3250290B2 (en) * 1992-12-28 2002-01-28 株式会社日立製作所 Wafer chuck
JPH0719831A (en) 1993-06-18 1995-01-20 Fujitsu General Ltd Image recognition processing apparatus
US6033475A (en) 1994-12-27 2000-03-07 Tokyo Electron Limited Resist processing apparatus
JPH08227933A (en) 1995-02-20 1996-09-03 Shin Etsu Chem Co Ltd Wafer heater with electrostatic attracting function
JPH0992703A (en) 1995-09-22 1997-04-04 Hitachi Ltd Collet and transfer unit fixed with collet
JP3319693B2 (en) 1995-10-30 2002-09-03 松下電器産業株式会社 Semiconductor device and manufacturing method thereof
JPH09148379A (en) * 1995-11-22 1997-06-06 Taiyo Yuden Co Ltd Formation of salient electrode
US5838529A (en) 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
JPH09199574A (en) * 1996-01-23 1997-07-31 Sumitomo Metal Ind Ltd Wafer retainer made of ceramics and its manufacture
JPH09270327A (en) 1996-03-29 1997-10-14 Tokin Corp Electronic part and manufacture thereof
JPH09283605A (en) * 1996-04-09 1997-10-31 Canon Inc Substrate sucking and holding device and manufacturing method therefor
US5751537A (en) 1996-05-02 1998-05-12 Applied Materials, Inc. Multielectrode electrostatic chuck with fuses
DE69703770T2 (en) 1996-08-14 2001-07-12 Tokyo Ohka Kogyo Co Ltd Inspection solution based on polysilazane for insulation with an intermediate layer
FR2774931B1 (en) * 1998-02-19 2000-04-28 Arnaud Hory METHOD OF RAPID PROTOTYPING BY LASER POWDER SINTERING AND ASSOCIATED DEVICE
WO1999049504A1 (en) 1998-03-26 1999-09-30 Nikon Corporation Projection exposure method and system
US6122564A (en) 1998-06-30 2000-09-19 Koch; Justin Apparatus and methods for monitoring and controlling multi-layer laser cladding
JP4275862B2 (en) * 1998-09-28 2009-06-10 エレメント シックス リミテッド Diamond composite manufacturing method
US6709747B1 (en) 1998-09-28 2004-03-23 Skeleton Technologies Ag Method of manufacturing a diamond composite and a composite produced by same
JP2000174105A (en) * 1998-12-03 2000-06-23 Nippon Telegr & Teleph Corp <Ntt> Semiconductor wafer holding device
JP2000202626A (en) * 1999-01-20 2000-07-25 Daido Steel Co Ltd Al BASE STRUCTURE WITH HARD BUILT-UP LAYER, AND COMPOSITE MATERIAL FOR CLADDING BY WELDING USED THEREFOR
TW594426B (en) 1999-06-11 2004-06-21 Asml Netherlands Bv Lithographic projection apparatus, integrated circuit manufacturing method and integrated circuit made thereby
ATE344404T1 (en) * 1999-12-07 2006-11-15 Jurid Werke Gmbh CARRIER PLATE FOR FRICTION MATERIAL BLOCKS FOR BRAKE PADS FOR RAIL AND RAIL-UNBOUND VEHICLES
JP2001237303A (en) 2000-02-22 2001-08-31 Sumitomo Metal Ind Ltd Vacuum chuck for wafer and its manufacturing method
JP4700819B2 (en) * 2000-03-10 2011-06-15 キヤノン株式会社 Substrate holding apparatus, semiconductor manufacturing apparatus, and semiconductor device manufacturing method
JP4753460B2 (en) 2000-08-16 2011-08-24 株式会社クリエイティブ テクノロジー Electrostatic chuck and manufacturing method thereof
US6495802B1 (en) 2001-05-31 2002-12-17 Motorola, Inc. Temperature-controlled chuck and method for controlling the temperature of a substantially flat object
DE10157647C5 (en) * 2001-11-26 2012-03-08 Cl Schutzrechtsverwaltungs Gmbh Method for producing three-dimensional workpieces in a laser material processing system or a stereolithography system
EP1359466A1 (en) * 2002-05-01 2003-11-05 ASML Netherlands B.V. Chuck, lithographic projection apparatus, method of manufacturing a chuck and device manufacturing method
JP4061131B2 (en) * 2002-06-18 2008-03-12 キヤノンアネルバ株式会社 Electrostatic adsorption device
JP2004022859A (en) 2002-06-18 2004-01-22 Murata Mfg Co Ltd Laminated ceramic capacitor and its manufacturing method
US7092231B2 (en) 2002-08-23 2006-08-15 Asml Netherlands B.V. Chuck, lithographic apparatus and device manufacturing method
US20040055709A1 (en) * 2002-09-19 2004-03-25 Applied Materials, Inc. Electrostatic chuck having a low level of particle generation and method of fabricating same
CN100470367C (en) 2002-11-12 2009-03-18 Asml荷兰有限公司 Lithographic apparatus and device manufacturing method
JP3813932B2 (en) * 2003-02-03 2006-08-23 株式会社東芝 Dry etching method for compound semiconductor multilayer film
EP1475666A1 (en) 2003-05-06 2004-11-10 ASML Netherlands B.V. Substrate holder for lithographic apparatus
US20050042881A1 (en) * 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus
EP2738792B1 (en) 2003-06-13 2015-08-05 Nikon Corporation Exposure method, substrate stage, exposure apparatus, and device manufacturing method
EP1491953A1 (en) 2003-06-23 2004-12-29 ASML Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
JP2005029401A (en) 2003-07-08 2005-02-03 Iwasaki Electric Co Ltd Reflecting mirror for light source, and light source unit
EP1498777A1 (en) 2003-07-15 2005-01-19 ASML Netherlands B.V. Substrate holder and lithographic projection apparatus
JP4565915B2 (en) 2003-07-23 2010-10-20 エーエスエムエル ネザーランズ ビー.ブイ. Lithographic projection apparatus and article holder
US7072165B2 (en) 2003-08-18 2006-07-04 Axcelis Technologies, Inc. MEMS based multi-polar electrostatic chuck
EP1510868A1 (en) * 2003-08-29 2005-03-02 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2005136025A (en) 2003-10-29 2005-05-26 Trecenti Technologies Inc Semiconductor manufacturing apparatus, method of manufacturing semiconductor device, and wafer stage
DE602004032100D1 (en) 2003-11-05 2011-05-19 Asml Netherlands Bv Lithographic apparatus and device holding method
US7019820B2 (en) * 2003-12-16 2006-03-28 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7245357B2 (en) 2003-12-15 2007-07-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4429023B2 (en) * 2004-01-07 2010-03-10 キヤノン株式会社 Exposure apparatus and device manufacturing method
US7824498B2 (en) * 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
ITPR20040018A1 (en) 2004-03-09 2004-06-09 Sig Technology Ag LABELING MACHINE WITH ULTRASONIC WELDING DEVICE TO MAKE A TUBULAR LABEL IN HEAT-SHRINK FILM AND WELDING PROCEDURE.
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7133120B2 (en) 2004-05-04 2006-11-07 Asml Netherlands B.V. Lithographic apparatus, article support member, and method
US8368870B2 (en) 2004-06-21 2013-02-05 Nikon Corporation Exposure apparatus and device manufacturing method
US7050147B2 (en) 2004-07-08 2006-05-23 Asml Netherlands B.V. Method of adjusting a height of protrusions on a support surface of a support table, a lithographic projection apparatus, and a support table for supporting an article in a lithographic apparatus
US7304715B2 (en) 2004-08-13 2007-12-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7701550B2 (en) 2004-08-19 2010-04-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
US7532310B2 (en) * 2004-10-22 2009-05-12 Asml Netherlands B.V. Apparatus, method for supporting and/or thermally conditioning a substrate, a support table, and a chuck
US7327439B2 (en) 2004-11-16 2008-02-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9557656B2 (en) * 2004-12-01 2017-01-31 Nikon Corporation Stage apparatus and exposure apparatus
US7371022B2 (en) * 2004-12-22 2008-05-13 Sokudo Co., Ltd. Developer endpoint detection in a track lithography system
EP1919665A1 (en) 2005-07-22 2008-05-14 TDY Industries, Inc. Composite materials
EP1770443B1 (en) 2005-09-28 2016-01-20 Semiconductor Energy Laboratory Co., Ltd. Laser processing apparatus and exposure method
US20070097346A1 (en) 2005-10-28 2007-05-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070139855A1 (en) 2005-12-21 2007-06-21 Asml Netherlands B.V. Lithographic apparatus and method of manufacturing an electrostatic clamp for a lithographic apparatus
US7626681B2 (en) * 2005-12-28 2009-12-01 Asml Netherlands B.V. Lithographic apparatus and method
US7649611B2 (en) 2005-12-30 2010-01-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2007201068A (en) 2006-01-25 2007-08-09 Taiheiyo Cement Corp Electrostatic chuck
US7646581B2 (en) 2006-01-31 2010-01-12 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck
JP2007258240A (en) 2006-03-20 2007-10-04 Tokyo Electron Ltd Surface processing method
JP4873405B2 (en) * 2006-03-24 2012-02-08 東京エレクトロン株式会社 Plasma processing apparatus and method
EP1840657A1 (en) 2006-03-28 2007-10-03 Carl Zeiss SMT AG Support structure for temporarily supporting a substrate
JP2007329008A (en) 2006-06-07 2007-12-20 Tokyo Electron Ltd Hot plate and its manufacturing method
JP5019811B2 (en) * 2006-07-20 2012-09-05 東京エレクトロン株式会社 Repair method of electrostatic adsorption electrode
US8325321B2 (en) 2006-07-28 2012-12-04 Mapper Lithography Ip B.V. Lithography system, method of heat dissipation and frame
DE102007005780A1 (en) * 2006-08-10 2008-02-14 Carl Zeiss Smt Ag Composite structure for microlithography and optical arrangement
JP2008085129A (en) * 2006-09-28 2008-04-10 Taiheiyo Cement Corp Substrate mounting apparatus
TW200830034A (en) 2006-10-13 2008-07-16 Asahi Glass Co Ltd Method of smoothing surface of substrate for EUV mask blank, and EUV mask blank obtained by the method
JP4890421B2 (en) 2006-10-31 2012-03-07 太平洋セメント株式会社 Electrostatic chuck
WO2008057483A2 (en) 2006-11-03 2008-05-15 Semlux Technologies, Inc. Laser conversion of high purity silicon powder to densified garnular forms
JP2008160093A (en) * 2006-11-29 2008-07-10 Toto Ltd Electrostatic chuck and manufacturing method thereof, and substrate-treating device
US8634053B2 (en) 2006-12-07 2014-01-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20080138504A1 (en) * 2006-12-08 2008-06-12 Coorstek, Inc. Coatings for components of semiconductor wafer fabrication equipment
US7791708B2 (en) * 2006-12-27 2010-09-07 Asml Netherlands B.V. Lithographic apparatus, substrate table, and method for enhancing substrate release properties
JP4874133B2 (en) * 2007-02-02 2012-02-15 パナソニック株式会社 Mold and manufacturing method thereof
KR20090008658A (en) 2007-07-18 2009-01-22 삼성전자주식회사 Manufacturing method of semiconductor devices having shallow trench isolation
US7940511B2 (en) 2007-09-21 2011-05-10 Asml Netherlands B.V. Electrostatic clamp, lithographic apparatus and method of manufacturing an electrostatic clamp
US20090122458A1 (en) * 2007-11-14 2009-05-14 Varian Semiconductor Epuipment Associated, Inc. Embossed electrostatic chuck
US20090138504A1 (en) 2007-11-26 2009-05-28 International Business Machines Corporation Electronic calendar scheduling method
JP4894770B2 (en) 2008-01-30 2012-03-14 株式会社フェローテックセラミックス Silicon carbide / boron nitride composite sintered body, method for producing the same, and member using the sintered body
NL1036460A1 (en) 2008-02-20 2009-08-24 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
KR200449275Y1 (en) 2008-02-25 2010-06-28 정지융 Eeating tools supporter with toothpick
JP2009246302A (en) * 2008-03-31 2009-10-22 Lintec Corp Die sorting tape
NL1036715A1 (en) 2008-04-16 2009-10-19 Asml Netherlands Bv Lithographic apparatus.
EP2131241B1 (en) 2008-05-08 2019-07-31 ASML Netherlands B.V. Fluid handling structure, lithographic apparatus and device manufacturing method
US8421993B2 (en) 2008-05-08 2013-04-16 Asml Netherlands B.V. Fluid handling structure, lithographic apparatus and device manufacturing method
TWI475594B (en) * 2008-05-19 2015-03-01 Entegris Inc Electrostatic chuck
US7883988B2 (en) 2008-06-04 2011-02-08 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate
WO2010019430A2 (en) 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
JP2010161319A (en) 2009-01-09 2010-07-22 Nikon Corp Electrostatic chucking device, exposure apparatus, and device manufacturing method
JP5193886B2 (en) * 2009-01-14 2013-05-08 株式会社巴川製紙所 Electrostatic chuck device repair method and repair device, and electrostatic chuck device
KR101691044B1 (en) 2009-02-04 2016-12-29 맷슨 테크놀로지, 인크. Electrostatic chuck system and process for radially tuning the temperature profile across the surface of a substrate
KR20100092221A (en) 2009-02-12 2010-08-20 삼성전자주식회사 Semiconductor memory device having cylinder-type lower electrode of capacitor
WO2010095540A1 (en) 2009-02-18 2010-08-26 株式会社アルバック Wafer conveying tray and method of securing wafer on tray
NL2004153A (en) * 2009-02-24 2010-08-25 Asml Netherlands Bv Lithographic apparatus, a method for removing material of one or more protrusions on a support surface, and an article support system.
KR101680787B1 (en) 2009-05-15 2016-11-29 엔테그리스, 아이엔씨. Electrostatic chuck with polymer protrusions
JP5561989B2 (en) * 2009-10-02 2014-07-30 太陽ホールディングス株式会社 Pattern formation method using laser
DE102009051351B4 (en) 2009-10-30 2014-07-10 Siemens Aktiengesellschaft Rail vehicle with fill level monitoring of a wastewater tank
EP2317546A1 (en) * 2009-10-30 2011-05-04 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method of making a support structure
JP5641765B2 (en) 2009-11-02 2014-12-17 キヤノン株式会社 Information processing apparatus, authentication method, and computer program
JP5423632B2 (en) 2010-01-29 2014-02-19 住友大阪セメント株式会社 Electrostatic chuck device
JP5269128B2 (en) 2010-03-12 2013-08-21 エーエスエムエル ネザーランズ ビー.ブイ. Lithographic apparatus and method
WO2012005294A1 (en) * 2010-07-09 2012-01-12 株式会社クリエイティブ テクノロジー Electrostatic chuck device and production method for same
NL2006913A (en) 2010-07-16 2012-01-17 Asml Netherlands Bv Lithographic apparatus and method.
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
TWI441589B (en) 2011-01-07 2014-06-11 Taiwan Green Point Entpr Co A composite structure and its manufacturing method
WO2012103967A1 (en) * 2011-02-01 2012-08-09 Asml Netherlands B.V. Substrate table, lithographic apparatus and device manufacturing method
EP2490073B1 (en) 2011-02-18 2015-09-23 ASML Netherlands BV Substrate holder, lithographic apparatus, and method of manufacturing a substrate holder
NL2008178A (en) 2011-02-25 2012-08-28 Asml Netherlands Bv LITHOGRAPHIC APPARATUS AND STAGE SYSTEM.
NL2008630A (en) 2011-04-27 2012-10-30 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
JP5063797B2 (en) * 2011-05-23 2012-10-31 京セラ株式会社 Adsorption member, adsorption device, and adsorption method
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
NL2009874A (en) * 2011-12-23 2013-06-26 Asml Netherlands Bv Support, lithographic apparatus and device manufacturing method.
NL2009858A (en) 2011-12-27 2013-07-01 Asml Netherlands Bv Substrate holder, lithographic apparatus, and device manufacturing method.
EP3683627A1 (en) 2012-02-03 2020-07-22 ASML Netherlands B.V. Substrate holder and lithographic apparatus
KR20150016508A (en) 2012-04-19 2015-02-12 에이에스엠엘 네델란즈 비.브이. Substrate holder, lithographic apparatus, and device manufacturing method
EP2927947B1 (en) 2012-11-28 2020-05-06 Kyocera Corporation Placement member and method for manufacturing same
KR20160013916A (en) 2013-05-23 2016-02-05 가부시키가이샤 니콘 Substrate holding method, substrate holding apparatus, exposure method, and exposure apparatus
US9220333B2 (en) 2013-11-27 2015-12-29 Msa Technology, Llc Adjustable lumbar support for mounting on a backpack and backpack having the same
WO2016164498A1 (en) 2015-04-06 2016-10-13 M Cubed Technologies, Inc. Article having diamond-only contact surfaces
JP6867149B2 (en) * 2015-12-25 2021-04-28 日本特殊陶業株式会社 Board holding member
JP7278035B2 (en) * 2018-06-20 2023-05-19 新光電気工業株式会社 Electrostatic chuck, substrate fixing device
EP3882700A1 (en) * 2020-03-16 2021-09-22 ASML Netherlands B.V. Object holder, tool and method of manufacturing an object holder

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5182170A (en) * 1989-09-05 1993-01-26 Board Of Regents, The University Of Texas System Method of producing parts by selective beam interaction of powder with gas phase reactant
US5583736A (en) * 1994-11-17 1996-12-10 The United States Of America As Represented By The Department Of Energy Micromachined silicon electrostatic chuck
US6046426A (en) * 1996-07-08 2000-04-04 Sandia Corporation Method and system for producing complex-shape objects
US6307620B1 (en) * 1999-04-27 2001-10-23 Canon Kabushiki Kaisha Substrate holding apparatus, substrate transfer system, exposure apparatus, coating apparatus, method for making a device, and method for cleaning a substrate holding section
WO2001045882A2 (en) * 1999-11-16 2001-06-28 Triton Systems, Inc. Laser fabrication of discontinuously reinforced metal matrix composites
CN1580956A (en) * 2003-08-12 2005-02-16 Asml荷兰有限公司 Lithographic apparatus and apparatus adjustment method
CN1971430A (en) * 2005-11-08 2007-05-30 Asml荷兰有限公司 Lithographic apparatus and device manufacturing method
TW201133696A (en) * 2009-08-12 2011-10-01 Varian Semiconductor Equipment Platen to control charge accumulation

Also Published As

Publication number Publication date
JP2015507367A (en) 2015-03-05
EP3550364A1 (en) 2019-10-09
US20160377994A1 (en) 2016-12-29
US20230251579A1 (en) 2023-08-10
WO2013113568A3 (en) 2013-12-12
NL2010139A (en) 2013-08-06
US20140368804A1 (en) 2014-12-18
EP2810128B1 (en) 2019-10-02
EP3683627A1 (en) 2020-07-22
CN109375474A (en) 2019-02-22
EP2810127B1 (en) 2020-03-04
JP7186258B2 (en) 2022-12-08
CN109298602A (en) 2019-02-01
US11960213B2 (en) 2024-04-16
WO2013113569A1 (en) 2013-08-08
JP2015508229A (en) 2015-03-16
US20170036272A1 (en) 2017-02-09
CN104081285A (en) 2014-10-01
JP6317785B2 (en) 2018-04-25
US20190224755A1 (en) 2019-07-25
EP2810127A1 (en) 2014-12-10
JP2021121865A (en) 2021-08-26
US20170312826A1 (en) 2017-11-02
US10875096B2 (en) 2020-12-29
US11235388B2 (en) 2022-02-01
JP2023026425A (en) 2023-02-24
US9737934B2 (en) 2017-08-22
WO2013113568A2 (en) 2013-08-08
CN104272190A (en) 2015-01-07
US10898955B2 (en) 2021-01-26
US20220331862A1 (en) 2022-10-20
JP6882420B2 (en) 2021-06-02
JP6085616B2 (en) 2017-02-22
US20220152703A1 (en) 2022-05-19
CN109298602B (en) 2021-10-15
JP2018117153A (en) 2018-07-26
US20210107061A1 (en) 2021-04-15
EP2810128A2 (en) 2014-12-10
US10245641B2 (en) 2019-04-02
KR101652782B1 (en) 2016-08-31
JP6609341B2 (en) 2019-11-20
JP5957540B2 (en) 2016-07-27
US20210114101A1 (en) 2021-04-22
US11376663B2 (en) 2022-07-05
JP2016187046A (en) 2016-10-27
US11754929B2 (en) 2023-09-12
US9442395B2 (en) 2016-09-13
KR20140114430A (en) 2014-09-26
CN109254501A (en) 2019-01-22
NL2010140A (en) 2013-08-06
US9507274B2 (en) 2016-11-29
US11628498B2 (en) 2023-04-18
US20240027915A1 (en) 2024-01-25
US20150029485A1 (en) 2015-01-29
JP2020024451A (en) 2020-02-13

Similar Documents

Publication Publication Date Title
CN104081285B (en) The method of substrate holder and manufacture substrate holder

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant