CN103975424A - 用于非平面晶体管的夹层电介质 - Google Patents

用于非平面晶体管的夹层电介质 Download PDF

Info

Publication number
CN103975424A
CN103975424A CN201180075347.7A CN201180075347A CN103975424A CN 103975424 A CN103975424 A CN 103975424A CN 201180075347 A CN201180075347 A CN 201180075347A CN 103975424 A CN103975424 A CN 103975424A
Authority
CN
China
Prior art keywords
material layer
dielectric material
interlayer dielectric
gate
planar transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201180075347.7A
Other languages
English (en)
Other versions
CN103975424B (zh
Inventor
S·普拉丹
J·卢斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN103975424A publication Critical patent/CN103975424A/zh
Application granted granted Critical
Publication of CN103975424B publication Critical patent/CN103975424B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02356Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the morphology of the insulating layer, e.g. transformation of an amorphous layer into a crystalline layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本发明涉及在非平面晶体管中形成第一级夹层电介质材料层,其可以借助旋涂技术,之后借助氧化和退火来形成。第一级夹层电介质材料层可以基本上没有空隙,并可以对非平面晶体管的源极/漏极区施加拉伸应变。

Description

用于非平面晶体管的夹层电介质
技术领域
本说明的实施例总体上涉及微电子器件制造的领域,更具体地,涉及在非平面晶体管中的第一级夹层电介质(interlayer dielectric)材料层的制造。
附图说明
在说明书的结束部分中特别指出并明确要求了本公开内容的主题。依据以下的说明和所附权利要求书并结合附图,本公开内容的在前的及其他特征会变得更充分地显而易见。应当理解,附图仅示出了根据本公开内容的几个实施例,因此不应认为限制本公开内容的范围。通过使用附图,将借助额外的特征和细节来描述本公开内容,以便更易于确定本公开内容的优点,在附图中:
图1是根据本说明的实施例的非平面晶体管的透视图。
图2示出了形成在微电子衬底中或上的非平面晶体管鳍片的侧视截面图。
图3示出了根据本说明的实施例的在图2的非平面晶体管鳍片之上沉积的牺牲材料的侧视截面图。
图4示出了根据本说明的实施例的沟槽的侧视截面图,所述沟槽形成在所沉积的牺牲材料中以露出图3的非平面晶体管鳍片的一部分。
图5示出了根据本说明的实施例的在图4的沟槽中形成的牺牲栅极的侧视截面图。
图6示出了根据本说明的实施例的在去除了图5的牺牲材料之后的牺牲栅极的侧视截面图。
图7示出了根据本说明的实施例的在图6的牺牲栅极和微电子衬底之上沉积的保形电介质层的侧视截面图。
图8示出了根据本说明的实施例的从图7的保形电介质层形成的栅极隔离物的侧视截面图。
图9示出了根据本说明的实施例的在图8的栅极隔离物的任一侧上的非平面晶体管鳍片中所形成的源极区和漏极区的侧视截面图。
图10示出了根据本说明的实施例的在图9的结构上所形成的粘附层的侧视截面图。
图11示出了根据本说明的实施例的在图10的栅极隔离物、牺牲栅极、非平面晶体管鳍片和微电子衬底之上沉积的第一夹层电介质材料层的侧视截面图。
图12示出了根据本说明的实施例的被氧化和退火的图11的第一夹层电介质材料层的侧视截面图。
图13示出了根据本说明的实施例的图12的结构的侧视截面图,其中,一部分第一夹层电介质材料层由图13的氧化和退火来硬化。
图14示出了根据本说明的实施例的在使得第一夹层电介质材料层平面化以露出牺牲栅极的顶表面之后的图13的结构的侧视截面图。
图15示出了根据本说明的实施例的在去除了牺牲栅极以形成栅极沟槽之后的图14的结构的侧视截面图。
图16示出了根据本说明的实施例的在栅极隔离物之间邻近非平面晶体管鳍片形成栅极电介质之后的图15的结构的侧视截面图。
图17示出了根据本说明的实施例的在图16的栅极沟槽中沉积的导电栅极材料的侧视截面图。
图18示出了根据本说明的实施例的在去除了过量导电栅极材料以形成非平面晶体管栅极之后的图17的结构的侧视截面图。
图19示出了根据本说明的实施例的在蚀刻掉一部分非平面晶体管栅极以形成凹陷的非平面晶体管栅极之后的图18的结构的侧视截面图。
图20示出了根据本说明的实施例的在将覆盖(capping)电介质材料沉积到由凹陷的非平面晶体管鳍片的形成所导致的凹陷中之后的图19的结构的侧视截面图。
图21示出了根据本说明的实施例的在去除了过量覆盖电介质材料以在非平面晶体管栅极上形成覆盖结构之后的图20的结构的侧视截面图。
图22示出了根据本说明的实施例的在图21的第一夹层电介质材料层、栅极隔离物和牺牲栅极顶表面之上沉积的第二夹层电介质材料层的侧视截面图。
图23示出了根据本说明的实施例的在图22的第二电介质材料上构图的蚀刻掩模的侧视截面图。
图24示出了根据本说明的实施例的通过图23的第一和第二电介质材料层形成的接触开口部的侧视截面图。
图25示出了根据本说明的实施例的在去除了蚀刻掩模之后的图24的结构的侧视截面图。
图26示出了根据本说明的实施例的在图25的接触开口部中沉积的导电接触材料的侧视截面图。
图27示出了根据本说明的实施例的在去除了过量导电接触材料以形成源极/漏极触点之后的图25的结构的侧视截面图。
图28示出了根据本说明的一个实现方式的计算设备。
具体实施方式
在以下的详细说明中参考了附图,其以例示的方式示出了其中可以实施所要求保护的主题的特定实施例。充分详细地说明了这些实施例,以使得本领域技术人员能够实施主题。应当理解,尽管不同,但多个实施例不一定是相互排斥的。例如,在不脱离所要求主题的精神和范围的情况下,本文中结合一个实施例所述的特定特征、结构或特性可以在其他实施例中实施。在本说明书中对“一个实施例”、“实施例”的提及表示结合该实施例说明的特定的特征、结构或特性包括在本发明所包含的至少一个实现方式中。因而,短语“一个实施例”或“在实施例中”的使用不一定指代同一实施例。另外,应当理解,在不脱离所要求的主题的精神和范围的情况下,可以修改每一个公开的实施例内的各个元件的位置或布置。因此,以下的详细说明不应认为是限制意义上的,主题的范围仅由适当理解的所附权利要求书连同所附权利要求书所给与的全部等同形式来限定。在附图中,相似的标记在几个附图通篇中指代相同或相似的元件或功能,本文所示的元件相互不一定按照比例,而是可以放大或缩小单个元件以便更易于理解本说明的内容中的元件。
在诸如三栅极晶体管和FinFET之类的非平面晶体管的制造中,非平面半导体基体可以用于形成能够以极小的栅极长度(例如小于约30nm)完全耗尽的晶体管。这些晶体管基体通常是鳍片形的,因而通称为晶体管“鳍片”。例如,在三栅极晶体管中,晶体管鳍片具有形成于本体半导体衬底或绝缘体上硅结构衬底上的顶表面和两个相对侧壁。栅极电介质可以形成于半导体基体的顶表面和侧壁上,栅极电极可以形成于半导体基体的顶表面上的栅极电介质之上,并邻近半导体基体的侧壁上的栅极电介质。因而由于栅极电介质和栅极电极邻近半导体基体的三个表面,就形成了三个分离的沟道和栅极。由于形成了三个分离的沟道,在导通晶体管时,可以完全耗尽半导体基体。关于finFET晶体管,栅极材料和电极仅接触半导体基体的侧壁,以形成两个分离的沟道(而不是三栅极晶体管中的三个沟道)。
本说明的实施例涉及在非平面晶体管内形成第一级夹层电介质材料层的,其可以借助旋涂技术之后借助氧化和退火来形成。第一级夹层电介质材料层可以基本上没有空隙,并可以对非平面晶体管的源极/漏极区施加拉伸应变。
图1是非平面晶体管100的透视图,包括形成于至少一个晶体管鳍片上的至少一个栅极,所述晶体管鳍片形成于微电子衬底102上。在本公开内容的一个实施例中,微电子衬底102可以是单晶硅衬底。微电子衬底102也可以是其他类型的衬底,例如绝缘体上硅(“SOI”)、锗、砷化镓、锑化铟、碲化铅、砷化铟、磷化铟、砷化镓、锑化镓等,其任何一个都可以与硅组合。
显示为三栅晶体管的每一个非平面晶体管可以包括至少一个非平面晶体管鳍片112。非平面晶体管鳍片112可以具有顶表面和横向相对的侧壁对,分别为侧壁116与相对的侧壁118。
如图1进一步示出的,可以在非平面晶体管鳍片112之上形成至少一个非平面晶体管栅极122。通过在非平面晶体管鳍片顶表面114上或邻近它,并在非平面晶体管鳍片侧壁116和相对的非平面晶体管鳍片侧壁118上或邻近它们形成栅极电介质层124来制造非平面晶体管栅极122。可以在栅极电介质层124上或邻近它形成栅极电极126。在本公开内容的一个实施例中,非平面晶体管鳍片112可以在与非平面晶体管栅极122基本上垂直的方向上延伸。
可以由任何公知的栅极电介质材料来形成栅极电介质层124,所述栅极电介质材料包括但不限于,二氧化硅(SiO2)、氮氧化硅(SiOxNy)、氮化硅(Si3N4)和高k电介质材料,所述高k电介质材料例如是,二氧化铪、硅酸铪、氧化镧、铝酸镧、氧化锆、硅酸锆、氧化钽、二氧化钛、钛酸锶钡、钛酸钡、钛酸锶、氧化钇、氧化铝、钽酸钪铅、和铌酸锌铅。可以借助公知的技术来形成栅极电介质层124,例如,如同本领域技术人员应当理解的,通过保形沉积栅极电极材料,随后以公知的光刻法和蚀刻技术来对栅极电极材料进行构图。
栅极电极126可以由任何适合的栅极电极材料来形成。在本公开内容的实施例中,栅极电极126可以由包括但不限于以下的材料形成:多晶硅、钨、钌、钯、铂、钴、镍、铪、锆、钛、钽、铝、碳化钛、一碳化锆、碳化钽、碳化铪、碳化铝、其他金属碳化物、金属氮化物、和金属氧化物。栅极电极126可以借助公知的技术来形成,例如,如同本领域技术人员应当理解的,通过均厚沉积栅极电极材料,随后以公知的光刻法和蚀刻技术来对栅极电极材料进行构图。
可以在栅极电极126的相对侧上的非平面晶体管鳍片112中形成源极区和漏极区(图1中未示出)。在一个实施例中,可以通过掺杂非平面晶体管鳍片112来形成源极和漏极区,如同本领域技术人员应当理解的。在另一个实施例中,源极和漏极区的形成可以通过去除部分非平面晶体管鳍片112,并以适当的材料代替这些部分,以形成源极和漏极区,如同本领域技术人员应当理解的。在又一个实施例中,可以通过在鳍片112上外延生长掺杂的或未掺杂的应变层来形成源极和漏极区。
图2-26示出了制造非平面晶体管的一个实施例的侧视截面图,其中,图2-5是沿图1的箭头A-A和B-B的视图,图6-15是沿图1的箭头A-A的视图,图16-26是沿图1的箭头C-C的视图。
如图2所示的,可以通过借助本领域中公知的任何技术来蚀刻微电子衬底102或在微电子衬底102上形成非平面晶体管鳍片112而形成非平面晶体管鳍片112。如图3所示的,可以在非平面晶体管鳍片112上沉积牺牲材料132,如图3中所示的,可以在牺牲材料132中形成沟槽134,以露出一部分非平面晶体管鳍片112,如图4中所示的。牺牲材料132可以是本领域中公知的任何适当的材料,可以通过本领域中公知的任何技术来形成沟槽134,所述公知技术包括但不限于光刻掩模和蚀刻。
如图5所示的,可以在沟槽134中形成牺牲栅极136(参见图4)。牺牲栅极136可以是诸如多晶硅材料等之类的任何适当的材料,并可以借助本领域中公知的任何技术沉积在沟槽134中(参见图4),所述公知技术包括但不限于化学气相沉积(“CVD”)和物理气相沉积(“PVD”)。
如图6所示的,可以借助本领域中公知的任何技术来去除图5的牺牲材料132以露出牺牲栅极136,所述公知技术例如是选择性地蚀刻牺牲材料132。如图7所示的,保形电介质层142可以沉积在牺牲栅极136和微电子衬底102上。保形电介质层142可以是任何适当的材料,所述材料包括但不限于氮化硅(Si3N4)和碳化硅(SiC),并可以借助任何适当的技术来形成,所述技术包括但不限于原子层沉积(“ALD”)。
如图8所示的,可以蚀刻图7的保形电介质层142,例如借助使用适当蚀刻剂的定向蚀刻,以在牺牲栅极136的侧壁146上形成一对栅极隔离物144,同时基本上去除邻近微电子衬底102和牺牲栅极136的顶表面148的保形电介质层142。应当理解,在栅极隔离物114的形成过程中,可以在非平面晶体管鳍片112的侧壁116和118上(参见图1)同时形成鳍片隔离物(未示出)。
如图9所示的,可以在栅极隔离物144的任一侧上形成源极区150a和漏极区150b。在一个实施例中,可以借助N型或P型离子掺杂剂的注入在非平面晶体管鳍片112中源极区150a和漏极区150b。如本领域技术人员应当理解的,掺杂剂注入是为了改变其导电性和电子特性,将杂质引入半导体材料的过程。这通常借助统称为“掺杂剂”的P型离子或N型离子的离子注入来实现。在另一个实施例中,可以借助诸如蚀刻的本领域中公知的任何技术去除部分非平面晶体管鳍片112,并可以形成源极区150a和漏极区150b以代替被去除的部分。在再另一个实施例中,可以通过在鳍片112上外延生长掺杂或未掺杂的应变层来形成源极和漏极区。在下文中将源极区150a和漏极区统称为“源极/漏极区150”。如本领域技术人员应当理解的,将具有P型源极和漏极的晶体管称为“PMOS”或“p沟道金属氧化物半导体”晶体管,将具N型源极和漏极的晶体管称为“NMOS”或“n沟道金属氧化物半导体”晶体管。
如图10所示的,诸如二氧化硅的粘附衬垫(adhesion liner)152可以保形沉积在栅极隔离物144、牺牲栅极顶表面148、非平面晶体管鳍片112和微电子衬底102上。粘附衬垫152可以在随后形成的夹层电介质材料层于图9的结构(即栅极隔离物144、牺牲栅极顶表面148、非平面晶体管鳍片112和微电子衬底102)之间提供足够的粘附力。
如图11所示的,可以借助旋涂技术在粘附衬垫152上形成第一夹层电介质材料层154,这可以用于将基本上均匀的薄膜涂覆在衬底上。在本说明的一个实施例中,可以在粘附衬垫152上沉积过量的夹层电介质材料。随后可以通常以高速旋转微电子衬底102,借助离心力将夹层电介质材料散布到微电子衬底102上;从而形成第一夹层电介质材料层154。旋涂技术可以具有相对间隔尺寸(pitch size)的能力等级,同时即使在高纵横比的情况下仍实现了有效的间隙填充(例如很少或基本上没有空隙形成)。
如图12所示的,第一夹层电介质材料层154可以被氧化随后进行退火(将氧化和退火步骤示为箭头156)。尽管以共同的箭头156在单一附图中示出了氧化和退火步骤,但这仅仅是为了举例说明中的简明。应当理解,氧化和退火步骤可以由一个或多个处理步骤间隔开。
在一个实施例中,在约93%蒸汽气氛中、以约410摄氏度在垂直扩散炉中执行氧化约2小时。氧化可以将溶剂从第一夹层电介质材料层154中驱除,并可以导致约10%到12%之间的第一夹层电介质材料层154的体积收缩。这个收缩可以施加拉伸应变,已经表明由于沟道迁移率增强而将NMOS三栅极晶体管的驱动电流增大高达约7%,如本领域技术人员应当理解的。在一个实施例中,可以借助氦气(或其他此类惰性气体)气氛在高密度等离子体室中以两个步骤退火来实现退火。第一步骤可以包括在约六(6)分钟的持续时间中将高密度等离子体室中的RF电极,例如顶电极和侧电极,加电到约16kW。第二步骤可以包括将高密度等离子体室RF电极,(例如顶电极和侧电极)加电到约6kW,持续约两(2)分钟的持续时间。
如图13所示的,作为前述氧化和退火步骤的结果,可以硬化第一夹层电介质154的一部分158。硬化的电介质部分158有助于在下游处理期间保护第一夹层电介质154。
如图14所示的,可以使第一夹层电介质层154平面化,以露出牺牲栅极顶表面148。第一电介质材料层154的平面化可以借助本领域中公知的任何技术来实现,包括但不限于,化学机械抛光(CMP)。如图14所示的,在平面化之后可以保留一部分硬化的电介质部158。
如图15所示的,可以去除图14的牺牲栅极以形成栅极沟槽164。可以借助诸如选择性蚀刻的本领域中公知的任何技术去除牺牲栅极136。如图16所示的,可以形成同样在图1中示出的栅极电介质层124,以临界非平面晶体管鳍片112,如前所述的。在前面已经论述了形成栅极电介质124的材料和方法。
如图17所示的,导电栅极材料166可以沉积在栅极沟槽164中,可以去除过量的导电栅极材料166(例如不在图16的栅极沟槽166中的导电栅极材料166),以形成非平面晶体管栅极电极126(同样参见图1),如图18所示的。在前面已经论述了形成栅极电极126的材料和方法。可以借助本领域中公知的任何技术来实现去除过量栅极材料166,所述公知技术包括但不限于,化学机械抛光(CMP)、蚀刻等。
如图19所示的,可以去除图18的一部分非平面晶体管栅极电极126,以形成凹陷168和凹陷的非平面晶体管栅极172。可以借助任何公知的技术来完成去除,所述公知技术包括但不限于湿法或干法蚀刻。在一个实施例中,凹陷的形成可以由干法蚀刻和湿法蚀刻的组合所产生。
如图20所示的,可以沉积覆盖电介质材料174以填充图19的凹陷168。覆盖电介质材料174可以是任何适当的材料,包括但不限于氮化硅(Si3N4)和碳化硅(SiC),并可以借助任何适当的沉积技术来形成。可以平面化覆盖电介质材料174以去除过量的覆盖电介质材料174(例如不在图19的凹陷内的覆盖电介质材料174),以便在凹陷的非平面晶体管栅极172上和栅极隔离物144之间形成覆盖电介质结构176,如图21所示的。可以借助本领域中公知的任何技术来实现去除过量覆盖电介质材料174,所述公知技术包括但不限于化学机械抛光(CMP)、蚀刻等。
如图22所示的,可以在第一电介质材料层154、栅极隔离物144和覆盖电介质结构176之上沉积第二夹层电介质层178。第二夹层电介质层178可以借助任何公知的沉积技术由任何适当的电介质材料形成,所述电介质材料包括但不限于,二氧化硅(SiO2)、氮氧化硅(SiOxNy)和氮化硅(Si3N4)。如图23所示的,可以例如借助公知的光刻技术,以在第二夹层电介质层178上的至少一个开口部184来构图蚀刻掩模182。
如图24所示的,可以借助蚀刻通过图23的蚀刻掩模开口部184以露出一部分源极/漏极区150来通过第一夹层电介质层154和第二夹层电介质层178形成接触开口部192。此后可以去除图24的蚀刻掩模182,如图25所示的。在一个实施例中,第一夹层电介质层154和第二电介质材料层178与栅极隔离物144和覆盖电介质结构176的电介质材料都不同,以使得第一夹层电介质层154和第二夹层电介质层178的蚀刻对于栅极隔离物144和覆盖电介质结构176有选择性(即蚀刻得更快)。这在本领域中称为自动对准。
如图26所示的,可以将导电接触材料196沉积在图25的接触开口部192中。导电接触材料196可以包括但不限于,多晶硅、钨、钌、钯、铂、钴、镍、铪、锆、钛、钽、铝、碳化钛、一碳化锆、碳化钽、碳化铪、碳化铝、其他金属碳化物、金属氮化物、和金属氧化物。应当理解,在沉积导电接触材料196之前,可以在图25的接触开口部192中保形设置或形成多个粘附层、载体层、硅化物层和/或导电层。
如图27所示的,可以去除图26的过量的导电接触材料196(例如不在图24的接触开口部192内的导电接触材料196)以形成源极/漏极触点198。过量导电接触材料196的去除可以借助本领域中公知的任何技术来实现,公知技术包括但不限于,化学机械抛光(CMP)、蚀刻等。
如前所述,在一个实施例中,第一夹层电介质层154和第二夹层电介质层178与栅极隔离物144和覆盖电介质结构176的电介质材料都不同,以使得第一夹层电介质层154和第二夹层电介质层178的蚀刻对于栅极隔离物144和覆盖电介质结构176是选择性的(即蚀刻得更快)。因而在接触开口部192的形成过程中保护了凹陷的非平面晶体管172。这允许相对大尺寸的源极/漏极触点198的形成,这可以增大晶体管驱动电流性能,且没有在源极/漏极触点198与凹陷的非平面晶体管栅极172之间的短路风险。
图28示出了根据本说明的一个实现方式的计算设备1000。计算设备1000容纳板1002。板1002可以包括多个组件,包括但不限于,处理器1004和至少一个通信芯片1006。处理器1004物理且电耦合到板1002。在一些实现方式中,至少一个通信芯片1006也物理且电耦合到板1002。在进一步的实现方式中,通信芯片1006是处理器1004的一部分。
取决于其应用,计算设备1000可以包括其他组件,其可以与板1002物理地且电性地耦合或不耦合。这些其他组件包括但不限于,易失性存储器(例如,DRAM)、非易失性存储器(例如ROM)、闪存、图形处理器、数字信号处理器、加密处理器、芯片组、天线、显示器、触摸屏显示器、触摸屏控制器、电池、音频编码解码器、视频编码解码器、功率放大器、全球定位系统(GPS)设备、指南针、加速度计、陀螺仪、扬声器、相机和大容量储存设备(例如,硬盘驱动器、光盘(CD)、数字多用途盘(DVD)等等)。
通信芯片1006实现了无线通信,用于往来于计算设备1000传送数据。术语“无线”及其派生词可以用于描述可以通过非固态介质借助使用调制电磁辐射传送数据的电路、设备、系统、方法、技术、通信信道等。该术语并非暗示相关设备不包含任何导线,尽管在一些实施例中它们可以不包含。通信芯片1006可以实施多个无线标准或协议中的任意一个,包括但不限于,Wi-Fi(IEEE802.11族)、WiMAX(IEEE802.16族)、IEEE802.20、长期演进(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、蓝牙、其派生物,以及被指定为3G、4G、5G及之后的任何其他无线协议。计算设备1000可以包括多个通信芯片1006。例如,第一通信芯片1006可以专用于近距离无线通信,例如Wi-Fi和蓝牙,第二通信芯片1006可以专用于远距离无线通信,例如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO等。
计算设备1000的处理器1004包括封装在处理器1004内的集成电路晶片。在本说明的一些实现方式中,处理器的集成电路晶片包括一个或多个器件,例如根据本说明的实现方式构成的非平面晶体管。术语“处理器”可以指代任何设备或设备的部分,其处理来自寄存器和/或存储器的电子数据,将该电子数据转变为可以存储在寄存器和/或存储器中的其他电子数据。
通信芯片1006也包括封装在通信芯片1006内的集成电路晶片。根据本说明的另一个实现方式,通信芯片的集成电路晶片包括一个或多个器件,例如根据本说明的实现方式构成的非平面晶体管。
在进一步的实现方式中,容纳在计算设备1000中的另一个组件可以包含集成电路晶片,其包括一个或多个器件,例如根据本说明的实现方式构成的非平面晶体管。
在多个实现方式中,计算设备1000可以是膝上型电脑、上网本电脑、笔记本电脑、超级本电脑、智能电话、平板电脑、个人数字助理(PDA)、超移动PC、移动电话、台式计算机、服务器、打印机、扫描器、监视器、机顶盒、娱乐控制单元、数码相机、便携式音乐播放器、或数码摄像机。在进一步的实现方式中,计算设备1000可以是处理数据的任何其他电子设备。
应当理解,本说明的主题不必然局限于图1-28所示的特定应用。如本领域技术人员应当理解的,该主题可以应用于其他微电子器件制造应用。
如此详细说明了本发明的实施例,应当理解,由所附权利要求书限定的本发明不受以上说明中阐述的特定细节的限制,在不脱离其精神或范围的情况下,其许多显而易见的变化是可能的。

Claims (20)

1.一种制造微电子晶体管的方法,包括:
形成晶体管栅极,所述晶体管栅极包括邻近衬底的栅极电极和位于所述栅极电极的相对侧上的一对栅极隔离物;
形成源极/漏极区;
邻近所述源极/漏极区并邻近至少一个栅极隔离物形成第一夹层电介质材料层;
氧化所述第一夹层电介质材料层;以及
对所述第一夹层电介质材料层进行退火。
2.根据权利要求1所述的方法,其中,形成所述第一夹层电介质材料层包括:以旋涂技术沉积所述第一夹层电介质材料层。
3.根据权利要求1所述的方法,进一步包括,在形成所述第一夹层电介质材料层之前,邻近所述源极/漏极区并邻近至少一个栅极隔离物形成粘附衬垫。
4.根据权利要求1所述的方法,其中,氧化所述第一夹层电介质材料层包括:在蒸汽气氛中加热所述第一夹层电介质材料层。
5.根据权利要求4所述的方法,其中,在蒸汽气氛中加热所述第一夹层电介质材料层包括:在约93%蒸汽气氛中,将所述第一夹层电介质材料层加热2小时,到约410摄氏度的温度。
6.根据权利要求1所述的方法,其中,对所述第一夹层电介质材料层进行退火包括:在惰性气氛中借助高密度等离子体对所述第一夹层电介质材料层进行退火。
7.根据权利要求1所述的方法,其中,对所述第一夹层电介质材料层进行氧化和退火使所述第一夹层电介质材料层收缩,以对所述源极/漏极区施加拉伸应变。
8.一种方法,包括:
在非平面晶体管鳍片之上形成牺牲非平面晶体管栅极;
在所述牺牲非平面晶体管栅极和所述非平面晶体管鳍片之上沉积电介质材料层;
从所述电介质材料层的邻近所述牺牲非平面晶体管栅极的部分形成非平面晶体管栅极隔离物;
形成源极/漏极区;
去除所述牺牲非平面晶体管栅极,以在所述非平面晶体管栅极隔离物之间形成栅极沟槽并露出所述非平面晶体管鳍片的一部分;
在所述栅极沟槽内邻近所述非平面晶体管鳍片形成栅极电介质;
在所述栅极沟槽内沉积导电栅极材料;
去除所述导电栅极材料的一部分,以在所述非平面晶体管栅极隔离物之间形成凹陷;
在所述凹陷内形成覆盖电介质结构;
在所述源极/漏极区、所述非平面晶体管栅极隔离物和所述覆盖电介质结构之上形成第一夹层电介质材料层;以及
氧化所述第一夹层电介质材料层;以及
对所述第一夹层电介质材料层进行退火。
9.根据权利要求8所述的方法,其中,形成所述第一夹层电介质材料层包括:以旋涂技术沉积所述第一夹层电介质材料层。
10.根据权利要求8所述的方法,进一步包括,在形成所述第一夹层电介质材料层之前,邻近所述源极/漏极区并邻近至少一个栅极隔离物形成粘附衬垫。
11.根据权利要求8所述的方法,其中,氧化所述第一夹层电介质材料层包括:在蒸汽气氛中加热所述第一夹层电介质材料层。
12.根据权利要求11所述的方法,其中,在蒸汽气氛中加热所述第一夹层电介质材料层包括:在约93%蒸汽气氛中,将所述第一夹层电介质材料层加热约2小时,到约410摄氏度的温度。
13.根据权利要求8所述的方法,其中,对所述第一夹层电介质材料层进行退火包括:在惰性气氛中借助高密度等离子体对所述第一夹层电介质材料层进行退火。
14.根据权利要求8所述的方法,进一步包括,平面化所述第一夹层电介质材料层。
15.根据权利要求8所述的方法,进一步包括,通过至少一个电介质材料形成触点,以接触所述源极/漏极区的至少部分。
16.根据权利要求8所述的方法,其中,对所述第一夹层电介质材料层进行氧化和退火使所述第一夹层电介质材料层收缩,以对所述源极/漏极区施加拉伸应变。
17.一种微电子晶体管,包括:
晶体管栅极,所述晶体管栅极包括邻近衬底形成的栅极电极和设置在所述栅极电极相对侧上的一对栅极隔离物;
源极/漏极区,所述源极/漏极区邻近所述晶体管栅极;以及
第一夹层电介质材料层,所述第一夹层电介质材料层邻近所述衬底、邻近所述源极/漏极区并邻近至少一个栅极隔离物而形成,其中,所述第一夹层电介质材料层包括硬化部分,所述硬化部分形成在所述第一夹层电介质材料层的第一表面附近处。
18.根据权利要求17所述的微电子晶体管,其中,所述晶体管栅极是非平面的。
19.根据权利要求17所述的微电子晶体管,其中,所述源极/漏极区是非平面的。
20.根据权利要求17所述的微电子晶体管,进一步包括覆盖电介质结构,所述覆盖电介质结构被设置为邻近所述非平面栅极电极并位于一对栅极隔离物之间。
CN201180075347.7A 2011-12-06 2011-12-06 用于非平面晶体管的夹层电介质 Active CN103975424B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2011/063433 WO2013085490A1 (en) 2011-12-06 2011-12-06 Interlayer dielectric for non-planar transistors

Publications (2)

Publication Number Publication Date
CN103975424A true CN103975424A (zh) 2014-08-06
CN103975424B CN103975424B (zh) 2016-12-07

Family

ID=48574707

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180075347.7A Active CN103975424B (zh) 2011-12-06 2011-12-06 用于非平面晶体管的夹层电介质

Country Status (5)

Country Link
US (5) US9087915B2 (zh)
CN (1) CN103975424B (zh)
DE (1) DE112011105925B4 (zh)
TW (1) TWI559442B (zh)
WO (1) WO2013085490A1 (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9580776B2 (en) 2011-09-30 2017-02-28 Intel Corporation Tungsten gates for non-planar transistors
KR101690449B1 (ko) 2011-09-30 2016-12-27 인텔 코포레이션 금속 게이트 전극을 포함하는 비 평면형 반도체 장치
KR101735976B1 (ko) 2011-09-30 2017-05-15 인텔 코포레이션 트랜지스터 게이트용 캡핑 유전체 구조를 형성하는 방법
US8981435B2 (en) 2011-10-01 2015-03-17 Intel Corporation Source/drain contacts for non-planar transistors
CN103975424B (zh) 2011-12-06 2016-12-07 英特尔公司 用于非平面晶体管的夹层电介质
US9034703B2 (en) * 2012-09-13 2015-05-19 International Business Machines Corporation Self aligned contact with improved robustness
US9153668B2 (en) 2013-05-23 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning tensile strain on FinFET
US9318574B2 (en) * 2014-06-18 2016-04-19 International Business Machines Corporation Method and structure for enabling high aspect ratio sacrificial gates
KR102426666B1 (ko) * 2015-03-25 2022-07-28 삼성전자주식회사 집적회로 장치 및 이의 제조 방법
FR3088482B1 (fr) * 2018-11-08 2021-05-14 Commissariat Energie Atomique Mise en contrainte d'une structure de canal de transistor a barreaux superposes par le biais d'une mise en contrainte des espaceurs
US11164878B2 (en) 2020-01-30 2021-11-02 International Business Machines Corporation Interconnect and memory structures having reduced topography variation formed in the BEOL
US20230008496A1 (en) * 2021-07-09 2023-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1930668A (zh) * 2003-05-30 2007-03-14 东京毅力科创株式会社 绝缘膜的改性方法
CN300930668S (zh) * 2008-03-31 2009-05-27 卢继勋 椅子(h047)
US20090246973A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a stressed passivation film using a non-ionizing electromagnetic radiation-assisted oxidation process
CN101584025A (zh) * 2005-04-01 2009-11-18 国际商业机器公司 低温制作高应变等离子体增强化学气相沉积氮化硅薄膜的方法
US20110272739A1 (en) * 2010-05-06 2011-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5399415A (en) 1993-02-05 1995-03-21 Cornell Research Foundation, Inc. Isolated tungsten microelectromechanical structures
JP3025478B2 (ja) 1998-07-13 2000-03-27 松下電器産業株式会社 半導体装置およびその製造方法
US6136697A (en) 1998-07-27 2000-10-24 Acer Semiconductor Manufacturing Inc. Void-free and volcano-free tungsten-plug for ULSI interconnection
US6331481B1 (en) 1999-01-04 2001-12-18 International Business Machines Corporation Damascene etchback for low ε dielectric
US6720261B1 (en) 1999-06-02 2004-04-13 Agere Systems Inc. Method and system for eliminating extrusions in semiconductor vias
US6617226B1 (en) 1999-06-30 2003-09-09 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
JP3587100B2 (ja) * 1999-09-17 2004-11-10 セイコーエプソン株式会社 不揮発性メモリトランジスタを含む半導体装置の製造方法
US6479405B2 (en) 2000-10-12 2002-11-12 Samsung Electronics Co., Ltd. Method of forming silicon oxide layer in semiconductor manufacturing process using spin-on glass composition and isolation method using the same method
KR20020029531A (ko) 2000-10-13 2002-04-19 박종섭 다마신 금속게이트를 이용한 반도체소자의 제조방법
KR20020056285A (ko) 2000-12-29 2002-07-10 박종섭 반도체 소자의 게이트 제조방법
KR100399357B1 (ko) 2001-03-19 2003-09-26 삼성전자주식회사 코발트 실리사이드를 이용한 반도체 장치 및 그 형성 방법
JP3654285B2 (ja) 2002-10-04 2005-06-02 セイコーエプソン株式会社 半導体装置の製造方法
KR100567056B1 (ko) * 2002-12-10 2006-04-04 주식회사 하이닉스반도체 에스램 소자의 제조방법
US6873057B2 (en) 2003-02-14 2005-03-29 United Microelectrtonics Corp. Damascene interconnect with bi-layer capping film
US7173305B2 (en) 2003-04-08 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact for silicon-on-insulator devices
KR100487567B1 (ko) 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
US7033931B2 (en) 2003-08-01 2006-04-25 Agere Systems Inc. Temperature optimization of a physical vapor deposition process to prevent extrusion into openings
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US6921711B2 (en) 2003-09-09 2005-07-26 International Business Machines Corporation Method for forming metal replacement gate of high performance
US6982196B2 (en) * 2003-11-04 2006-01-03 International Business Machines Corporation Oxidation method for altering a film structure and CMOS transistor structure formed therewith
US7026689B2 (en) 2004-08-27 2006-04-11 Taiwan Semiconductor Manufacturing Company Metal gate structure for MOS devices
US7361958B2 (en) 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
TWI277210B (en) * 2004-10-26 2007-03-21 Nanya Technology Corp FinFET transistor process
US7230296B2 (en) 2004-11-08 2007-06-12 International Business Machines Corporation Self-aligned low-k gate cap
US7282766B2 (en) 2005-01-17 2007-10-16 Fujitsu Limited Fin-type semiconductor device with low contact resistance
KR100585178B1 (ko) 2005-02-05 2006-05-30 삼성전자주식회사 금속 게이트 전극을 가지는 FinFET을 포함하는반도체 소자 및 그 제조방법
US7224033B2 (en) 2005-02-15 2007-05-29 International Business Machines Corporation Structure and method for manufacturing strained FINFET
KR100578818B1 (ko) 2005-02-24 2006-05-11 삼성전자주식회사 핀 전계 효과 트랜지스터 및 이의 형성 방법
JP4804782B2 (ja) * 2005-04-04 2011-11-02 株式会社堀場製作所 窒素酸化物分析計及び窒素酸化物分析計に適用されるパラメータ設定方法
US7585570B2 (en) 2005-04-14 2009-09-08 Sumitomo Chemical Company, Limited Adhesives and optical laminates including the same
US7326617B2 (en) * 2005-08-23 2008-02-05 United Microelectronics Corp. Method of fabricating a three-dimensional multi-gate device
KR100653711B1 (ko) 2005-11-14 2006-12-05 삼성전자주식회사 쇼트키 배리어 핀 펫 소자 및 그 제조방법
US7276417B2 (en) * 2005-12-28 2007-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid STI stressor with selective re-oxidation anneal
KR20070122319A (ko) 2006-06-26 2007-12-31 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7517764B2 (en) * 2006-06-29 2009-04-14 International Business Machines Corporation Bulk FinFET device
US7968425B2 (en) * 2006-07-14 2011-06-28 Micron Technology, Inc. Isolation regions
KR100818433B1 (ko) * 2006-09-05 2008-04-01 동부일렉트로닉스 주식회사 완전 실리사이드 게이트 구조를 갖는 모스 트랜지스터 및그 제조 방법
US7456471B2 (en) 2006-09-15 2008-11-25 International Business Machines Corporation Field effect transistor with raised source/drain fin straps
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US8450165B2 (en) 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
US20080290380A1 (en) 2007-05-24 2008-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with raised spacers
KR100903383B1 (ko) 2007-07-31 2009-06-23 주식회사 하이닉스반도체 일함수가 조절된 게이트전극을 구비한 트랜지스터 및 그를구비하는 메모리소자
US7902082B2 (en) * 2007-09-20 2011-03-08 Samsung Electronics Co., Ltd. Method of forming field effect transistors using diluted hydrofluoric acid to remove sacrificial nitride spacers
US7598147B2 (en) 2007-09-24 2009-10-06 International Business Machines Corporation Method of forming CMOS with Si:C source/drain by laser melting and recrystallization
DE102008030854B4 (de) 2008-06-30 2014-03-20 Advanced Micro Devices, Inc. MOS-Transistoren mit abgesenkten Drain- und Source-Bereichen und nicht-konformen Metallsilizidgebieten und Verfahren zum Herstellen der Transistoren
US7939863B2 (en) 2008-08-07 2011-05-10 Texas Instruments Incorporated Area efficient 3D integration of low noise JFET and MOS in linear bipolar CMOS process
US8153526B2 (en) 2008-08-20 2012-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. High planarizing method for use in a gate last process
JP2010050215A (ja) 2008-08-20 2010-03-04 Toshiba Corp 半導体装置
DE102008059500B4 (de) 2008-11-28 2010-08-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Mehr-Gatetransistors mit homogen silizidierten Stegendbereichen
US8227867B2 (en) * 2008-12-23 2012-07-24 International Business Machines Corporation Body contacted hybrid surface semiconductor-on-insulator devices
US8202776B2 (en) * 2009-04-22 2012-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for protecting a gate structure during contact formation
JP5493096B2 (ja) 2009-08-06 2014-05-14 富士通セミコンダクター株式会社 半導体装置の製造方法
US8304841B2 (en) 2009-09-14 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate transistor, integrated circuits, systems, and fabrication methods thereof
US8530971B2 (en) 2009-11-12 2013-09-10 International Business Machines Corporation Borderless contacts for semiconductor devices
US20110147851A1 (en) 2009-12-18 2011-06-23 Thomas Christopher D Method For Depositing Gate Metal For CMOS Devices
US8779530B2 (en) 2009-12-21 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a field effect transistor
US20110147831A1 (en) 2009-12-23 2011-06-23 Steigerwald Joseph M Method for replacement metal gate fill
US8334184B2 (en) * 2009-12-23 2012-12-18 Intel Corporation Polish to remove topography in sacrificial gate layer prior to gate patterning
US8313999B2 (en) 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
KR101675373B1 (ko) 2010-03-24 2016-11-11 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8492852B2 (en) 2010-06-02 2013-07-23 International Business Machines Corporation Interface structure for channel mobility improvement in high-k metal gate stack
US8278173B2 (en) 2010-06-30 2012-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating gate structures
JP5569243B2 (ja) * 2010-08-09 2014-08-13 ソニー株式会社 半導体装置及びその製造方法
KR101703096B1 (ko) 2010-09-02 2017-02-07 삼성전자 주식회사 반도체 장치의 제조방법
US8466473B2 (en) 2010-12-06 2013-06-18 International Business Machines Corporation Structure and method for Vt tuning and short channel control with high k/metal gate MOSFETs
US8637359B2 (en) 2011-06-10 2014-01-28 International Business Machines Corporation Fin-last replacement metal gate FinFET process
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US8557666B2 (en) 2011-09-13 2013-10-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits
US9580776B2 (en) 2011-09-30 2017-02-28 Intel Corporation Tungsten gates for non-planar transistors
KR101690449B1 (ko) 2011-09-30 2016-12-27 인텔 코포레이션 금속 게이트 전극을 포함하는 비 평면형 반도체 장치
KR101735976B1 (ko) 2011-09-30 2017-05-15 인텔 코포레이션 트랜지스터 게이트용 캡핑 유전체 구조를 형성하는 방법
US8981435B2 (en) 2011-10-01 2015-03-17 Intel Corporation Source/drain contacts for non-planar transistors
CN103975424B (zh) 2011-12-06 2016-12-07 英特尔公司 用于非平面晶体管的夹层电介质

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1930668A (zh) * 2003-05-30 2007-03-14 东京毅力科创株式会社 绝缘膜的改性方法
CN101584025A (zh) * 2005-04-01 2009-11-18 国际商业机器公司 低温制作高应变等离子体增强化学气相沉积氮化硅薄膜的方法
US20090246973A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a stressed passivation film using a non-ionizing electromagnetic radiation-assisted oxidation process
CN300930668S (zh) * 2008-03-31 2009-05-27 卢继勋 椅子(h047)
US20110272739A1 (en) * 2010-05-06 2011-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure

Also Published As

Publication number Publication date
US20160020304A1 (en) 2016-01-21
US10056488B2 (en) 2018-08-21
TW201338093A (zh) 2013-09-16
US20200279950A1 (en) 2020-09-03
WO2013085490A1 (en) 2013-06-13
DE112011105925T5 (de) 2014-09-25
US9087915B2 (en) 2015-07-21
US20190013406A1 (en) 2019-01-10
TWI559442B (zh) 2016-11-21
US10998445B2 (en) 2021-05-04
US10693006B2 (en) 2020-06-23
US20140117425A1 (en) 2014-05-01
US9634124B2 (en) 2017-04-25
US20170125596A1 (en) 2017-05-04
CN103975424B (zh) 2016-12-07
DE112011105925B4 (de) 2023-02-09

Similar Documents

Publication Publication Date Title
CN103975424A (zh) 用于非平面晶体管的夹层电介质
US10847653B2 (en) Semiconductor device having metallic source and drain regions
US11901458B2 (en) Dielectric isolation layer between a nanowire transistor and a substrate
TWI830060B (zh) 用於控制電晶體子鰭洩漏的技術
KR102042476B1 (ko) 나노와이어 트랜지스터에 대한 누설 감소 구조체
EP3326206B1 (en) Method of manufacturing a transistor with airgap spacer
CN110047752B (zh) 利用硬掩模层的纳米线晶体管制造
TWI590337B (zh) 由增加有效閘極長度而增進閘極對電晶體通道的控制的技術
CN108028277B (zh) 具有增大的接触面积的半导体器件接触
US20190341297A1 (en) Back side processing of integrated circuit structures to form insulation structure between adjacent transistor structures
TWI814697B (zh) 在電晶體間隔件下之電阻降低
US20150050787A1 (en) Fully silicided gate formed according to the gate-first hkmg approach
KR102385395B1 (ko) Finfet 도핑을 위한 이중 높이 유리
TWI728005B (zh) 雙臨界電壓(vt)通道裝置及其製造方法
CN106601684B (zh) 一种半导体器件及其制备方法、电子装置
US20240113116A1 (en) Epitaxial structure and gate metal structures with a planar top surface
CN106910671A (zh) 一种半导体器件及其制备方法、电子装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant