CN103779190A - 精细线条制备方法 - Google Patents

精细线条制备方法 Download PDF

Info

Publication number
CN103779190A
CN103779190A CN201210395105.2A CN201210395105A CN103779190A CN 103779190 A CN103779190 A CN 103779190A CN 201210395105 A CN201210395105 A CN 201210395105A CN 103779190 A CN103779190 A CN 103779190A
Authority
CN
China
Prior art keywords
etching
hard mask
layer
electron beam
structural wood
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201210395105.2A
Other languages
English (en)
Other versions
CN103779190B (zh
Inventor
孟令款
李春龙
贺晓彬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Institute of Microelectronics of CAS
Original Assignee
Institute of Microelectronics of CAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Institute of Microelectronics of CAS filed Critical Institute of Microelectronics of CAS
Priority to CN201210395105.2A priority Critical patent/CN103779190B/zh
Publication of CN103779190A publication Critical patent/CN103779190A/zh
Application granted granted Critical
Publication of CN103779190B publication Critical patent/CN103779190B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明公开了一种精细线条制备方法,包括:在衬底上形成结构材料层和硬掩模层;在硬掩模层上形成电子束光刻胶,执行电子束曝光形成电子束光刻胶图形;以电子束光刻胶图形为掩模,刻蚀形成硬掩模图形;以硬掩模图形为掩模,刻蚀结构材料层,形成所需要的精细线条。依照本发明的方法,采用材质不同的多层硬掩模层并且合理调整刻蚀反应条件,防止了电子束光刻胶侧壁粗糙度传递到下层的结构材料层,有效降低了线条的粗糙度,提高了工艺的稳定性,降低了器件性能的波动变化。

Description

精细线条制备方法
技术领域
本发明涉及半导体集成电路制造领域,更具体地,涉及一种电子束光刻以及精细线条制备方法。
背景技术
随着超大规模集成电路特征尺寸逐渐缩小,在半导体器件的制造方法中,进入22nm技术代后,普通的光学曝光的技术极限也已经到来。目前,45nm工艺节点之后,普遍采用i193nm浸入式光刻技术结合双曝光双刻蚀技术以制备更小的线条。22nm以下节点的精细图形通常需要采用电子束或EUV进行曝光和光刻。
关于EUV光刻技术,目前还处于研发阶段,尚有若干关键技术需要攻克及改进,还无法应用于大规模集成电路制造当中。相比之下,电子束曝光技术经过多年的发展,比较成熟,并且电子束曝光具有很高的精度,分辨率可以达到几个纳米,写出超精细图形的线条来,但效率较低,因而扫描精度和扫描效率的矛盾成为电子束光刻的主要矛盾。解决这个问题的关键技术就是解决电子束光刻系统和目前生产效率较高的光学光刻系统的匹配和混合光刻技术问题。一种可行的办法是大部分工艺由投影光刻机曝光或接触式曝光,超精细图形和套刻精度要求特别高的图形层采用电子束直写曝光。
另一方面,采用传统光学曝光技术制备例如多晶硅栅电极的精细线条是复杂的,为了获得22nm级的线条往往需要多层硬掩模,还可能结合trimming(微缩)工艺(对PR或者对硬掩模),增加了工艺复杂度。因此,如何能高效、低成本地制备精细线条,成为目前业界研究的热点之一。
发明内容
有鉴于此,本发明的目的在于独立于光刻胶之外,结合一种新的硬掩模技术,采用一步刻蚀技术,大大降低了工艺复杂性。
实现本发明的上述目的,是通过提供一种精细线条制备方法,包括:在衬底上形成结构材料层和硬掩模层;在硬掩模层上形成电子束光刻胶,执行电子束曝光形成电子束光刻胶图形;以电子束光刻胶图形为掩模,刻蚀形成硬掩模图形;以硬掩模图形为掩模,刻蚀结构材料层,形成所需要的精细线条。
其中,硬掩模层包括氧化硅、氮化硅、氮氧化硅及其组合。
其中,硬掩模层为氧化硅一氮化硅一氧化硅的叠层结构。
其中,硬掩模层和/或结构材料层的刻蚀采用等离子体干法刻蚀技术。
其中,采用碳氟基气体刻蚀硬掩模层,碳氟基气体包括CF4、CHF3、CH3F、CH2F2及其组合。
其中,刻蚀结构材料层时,先执行Cl2、HBr的主刻蚀,然后执行HBr与O2的过刻蚀。
其中,在主刻蚀步骤增加辅助性的刻蚀气体,辅助性的刻蚀气体包括CHF3、CH3F、CH2F2及其组合。
其中,刻蚀硬掩模和/或结构材料层之后还包括干法去胶和/或湿法腐蚀清洗。
其中,结构材料层为假栅电极层、金属栅电极层、局部互连层中的一种。
依照本发明的方法,采用材质不同的多层硬掩模层并且合理调整刻蚀反应条件,防止了电子束光刻胶侧壁粗糙度传递到下层的结构材料层,有效降低了线条的粗糙度,提高了工艺的稳定性,降低了器件性能的波动变化。
附图说明
以下参照附图来详细说明本发明的技术方案,其中:
图1为电子束光刻版图的示意图;
图2至图5为依照本发明的方法各步骤的剖面示意图;以及
图6为依照本发明的方法的流程图。
具体实施方式
以下参照附图并结合示意性的实施例来详细说明本发明技术方案的特征及其技术效果。需要指出的是,类似的附图标记表示类似的结构,本申请中所用的术语“第一”、“第二”、“上”、“下”、“厚”、“薄”等等可用于修饰各种器件结构。这些修饰除非特别说明并非暗示所修饰器件结构的空间、次序或层级关系。
参考附图1,显示了电子束光刻版图的示意图,用于小线宽图形,如栅电极层、局部互连层等。在本发明中,精细图形FP被定义为超出了普通光学曝光的能力范围,需要采用电子束曝光的图形,可以制备图形尺寸小于22nm以下节点的线条。
参考图2,提供衬底1,在衬底1上依次形成结构材料层(由栅极绝缘层2、栅极导电层3构成)、以及硬掩膜层4,并涂覆光刻胶。衬底1依照器件用途需要而合理选择,可包括单晶体硅(Si)、SOI、单晶体锗(Ge)、GeOI、应变硅(Strained Si)、锗硅(SiGe),或是化合物半导体材料,例如氮化镓(GaN)、砷化镓(GaAs)、磷化铟(InP)、锑化铟(InSb),以及碳基半导体例如石墨烯、SiC、碳纳管等等。出于与CMOS工艺兼容的考虑,衬底1优选地为体Si或者SOI。在衬底1上通过LPCVD、PECVD、HDPCVD、RTO、化学氧化、MBE、ALD等方法沉积形成栅极绝缘层2,其材质可以是氧化硅、氮氧化硅、高k材料,其中高k材料包括但不限于铪基氧化物(例如HfO2、HfSiON、HfLaON)、金属氧化物(主要为副族和镧系金属元素氧化物,例如Al2O3、Ta2O5、TiO2、ZnO、ZrO2、CeO2、Y2O3、La2O3)、钙钛矿相氧化物(例如PbZrxTi1-xO3(PZT)、BaxSr1-xTiO3(BST))。在栅极绝缘层2上通过PECVD、HDPCVD、MOCVD、MBE、ALD、蒸发、溅射等沉积方法形成栅极导电层3。在前栅工艺中,栅极导电层3为掺杂多晶硅、金属及其氮化物,其中所述金属包括Al、Cu、Ti、Ta、W、Mo及其组合。在后栅工艺中,栅极导电层3可以是假栅极,包括多晶硅、非晶硅、微晶硅、非晶碳、非晶锗等及其组合。在栅极导电层3上通过LPCVD、PECVD、HDPCVD等方法沉积硬掩模层4,其可以是单层也可以是多层的层叠结构,其材质可以包括氧化硅、氮化硅、氮氧化硅及其组合。在本发明一个实施例中,硬掩模层4是ONO的多层结构,也即包括氧化硅的底层、氮化硅的中层以及氧化硅的顶层(图中并未显示该ONO的分层结构)。
参考图3,形成电子束光刻胶图形。在硬掩膜层4上涂覆光刻胶5,为适应于电子束直写技术的光刻胶,例如PMMA、环氧618、COP、7520、HSQ等等。栅极导电层3为要最终进行图形化的层。将栅极层3进行拆分,提取出电子束直写曝光的图形FP,并制作相应光刻版。具体地,采用对应于图1中图形FP的镂空金属板,采用电子束直写技术进行曝光,在异丙酮等显影液中显影,最后得出例如在22nm节点或以下的超精细图形,也即图中所示的光刻胶图形5P。
参照图4,以光刻胶图案5P为掩模,刻蚀硬掩模层4形成硬掩模图案4P。优选地,刻蚀停止在ONO结构的硬掩模层4的氮化硅材质的中层上,也即仅刻蚀去除了氧化硅材质的顶层。优选地,采用各向异性的刻蚀方法,例如等离子体刻蚀、反应离子刻蚀的干法刻蚀,以便得到垂直的线条。刻蚀气体可以是碳氟基气体,并且还可以包括惰性气体以及氧化性气体以调节刻蚀速率。上述干法刻蚀设备可以是CCP或ICP或TCP设备。形成图形后,借助干法和/或湿法去胶工艺去除光刻胶图案5P。其中,根据采用的刻蚀气体及其比例将形成不同的刻蚀形貌。如当采用CF4这种聚合物较少的刻蚀气体,将形成比较陡直的刻蚀形貌,但缺点是对光刻胶(PR)的选择比较低,需要额外粗确地控制对PR的损失;当采用CF4与CHF3、CH3F、CH2F2的组合时,能够对PR获得极高的选择比,但是得到的形貌较为倾斜。
参照图5,以硬掩模图案4P为掩模,刻蚀结构材料层3/2,形成由结构材料层构成的精细线条3P。具体地,采用等离子体刻蚀、反应离子刻蚀(RIE)等干法刻蚀技术,可以先执行Cl2、HBr的主刻蚀,然后执行HBr与O2的过刻蚀,亦可视应用在主刻蚀步骤增加辅助性的刻蚀气体,如可以增加CHF3、CH3F或者CH2F2形成更多聚合物,起到保护侧壁的作用。其中,干法刻蚀设备可以是ICP、TCP、CCP设备。随后,优选地,采用干法刻蚀和/或湿法腐蚀工艺去除刻蚀过程中产生的聚合物及其颗粒,干法刻蚀例如采用氟基等离子体刻蚀,湿法腐蚀例如SPM(例如硫酸∶双氧水=4∶1)/APM(例如氨水∶双氧水∶去离子水=1∶1∶5或者0.5∶1∶5)湿法清洗。
以上以刻蚀栅极线条为例说明了本发明的一个实施例,然而实际上本发明的混合光刻方法可以应用于各种半导体结构,层2和3可以是任何的结构材料层,例如假栅极堆叠结构、局部互连结构、顶部焊垫结构等等。
依照本发明的方法,采用材质不同的多层硬掩模层并且合理调整刻蚀反应条件,防止了电子束光刻胶侧壁粗糙度传递到下层的结构材料层,有效降低了线条的粗糙度,提高了工艺的稳定性,降低了器件性能的波动变化。
尽管已参照一个或多个示例性实施例说明本发明,本领域技术人员可以知晓无需脱离本发明范围而对形成器件结构的方法做出各种合适的改变和等价方式。此外,由所公开的教导可做出许多可能适于特定情形或材料的修改而不脱离本发明范围。因此,本发明的目的不在于限定在作为用于实现本发明的最佳实施方式而公开的特定实施例,而所公开的器件结构及其制造方法将包括落入本发明范围内的所有实施例。

Claims (9)

1.一种精细线条制备方法,包括:
在衬底上形成结构材料层和硬掩模层;
在硬掩模层上形成电子束光刻胶,执行电子束曝光形成电子束光刻胶图形;
以电子束光刻胶图形为掩模,刻蚀形成硬掩模图形;
以硬掩模图形为掩模,刻蚀结构材料层,形成所需要的精细线条。
2.如权利要求1所述的方法,其中,硬掩模层包括氧化硅、氮化硅、氮氧化硅及其组合。
3.如权利要求2所述的方法,其中,硬掩模层为氧化硅一氮化硅一氧化硅的叠层结构。
4.如权利要求1所述的方法,其中,硬掩模层和/或结构材料层的刻蚀采用等离子体干法刻蚀技术。
5.如权利要求4所述的方法,其中,采用碳氟基气体刻蚀硬掩模层,碳氟基气体包括CF4、CHF3、CH3F、CH2F2及其组合。
6.如权利要求4所述的方法,其中,刻蚀结构材料层时,先执行Cl2、HBr的主刻蚀,然后执行HBr与O2的过刻蚀。
7.如权利要求6所述的方法,其中,在主刻蚀步骤增加辅助性的刻蚀气体,辅助性的刻蚀气体包括CHF3、CH3F、CH2F2及其组合。
8.如权利要求1所述的方法,其中,刻蚀硬掩模和/或结构材料层之后还包括干法去胶和/或湿法腐蚀清洗。
9.如权利要求1所述的方法,其中,结构材料层为假栅电极层、金属栅电极层、局部互连层中的一种。
CN201210395105.2A 2012-10-17 2012-10-17 精细线条制备方法 Active CN103779190B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201210395105.2A CN103779190B (zh) 2012-10-17 2012-10-17 精细线条制备方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201210395105.2A CN103779190B (zh) 2012-10-17 2012-10-17 精细线条制备方法

Publications (2)

Publication Number Publication Date
CN103779190A true CN103779190A (zh) 2014-05-07
CN103779190B CN103779190B (zh) 2019-08-06

Family

ID=50571318

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210395105.2A Active CN103779190B (zh) 2012-10-17 2012-10-17 精细线条制备方法

Country Status (1)

Country Link
CN (1) CN103779190B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104528634A (zh) * 2014-12-16 2015-04-22 南京工业大学 一种纳米结构的侧壁成形制造方法
CN106553993A (zh) * 2015-09-28 2017-04-05 中国科学院微电子研究所 与cmos工艺兼容的纳米结构制备方法
WO2021008051A1 (zh) * 2019-07-16 2021-01-21 哈尔滨工业大学(深圳) 一种制备高纵宽比二氧化钛的垂直刻蚀工艺
CN112599669A (zh) * 2020-12-17 2021-04-02 华中科技大学 一种相变材料刻蚀方法及三维堆叠相变存储器

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0683025A (ja) * 1992-08-31 1994-03-25 Fujitsu Ltd フォトマスクとその形成方法
CN101106066A (zh) * 2006-07-10 2008-01-16 中芯国际集成电路制造(上海)有限公司 可去除刻蚀后残留聚合物的半导体器件制造方法
CN101399209A (zh) * 2008-09-26 2009-04-01 中国科学院微电子研究所 非挥发存储器的制备方法
CN101459125A (zh) * 2007-12-13 2009-06-17 中芯国际集成电路制造(上海)有限公司 连接孔的形成方法
CN101740370A (zh) * 2008-11-26 2010-06-16 北京北方微电子基地设备工艺研究中心有限责任公司 硅栅极的刻蚀方法及提高硅栅极线宽腔室匹配的方法
CN101963755A (zh) * 2009-06-26 2011-02-02 罗门哈斯电子材料有限公司 自对准间隔物多重图形化方法
CN102110597A (zh) * 2009-12-23 2011-06-29 中国科学院微电子研究所 一种实现亚10nm栅长线条的方法
CN102446723A (zh) * 2011-11-08 2012-05-09 上海华力微电子有限公司 一种利用紫外线照射光刻胶来缩小硅栅线宽的方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0683025A (ja) * 1992-08-31 1994-03-25 Fujitsu Ltd フォトマスクとその形成方法
CN101106066A (zh) * 2006-07-10 2008-01-16 中芯国际集成电路制造(上海)有限公司 可去除刻蚀后残留聚合物的半导体器件制造方法
CN101459125A (zh) * 2007-12-13 2009-06-17 中芯国际集成电路制造(上海)有限公司 连接孔的形成方法
CN101399209A (zh) * 2008-09-26 2009-04-01 中国科学院微电子研究所 非挥发存储器的制备方法
CN101740370A (zh) * 2008-11-26 2010-06-16 北京北方微电子基地设备工艺研究中心有限责任公司 硅栅极的刻蚀方法及提高硅栅极线宽腔室匹配的方法
CN101963755A (zh) * 2009-06-26 2011-02-02 罗门哈斯电子材料有限公司 自对准间隔物多重图形化方法
CN102110597A (zh) * 2009-12-23 2011-06-29 中国科学院微电子研究所 一种实现亚10nm栅长线条的方法
CN102446723A (zh) * 2011-11-08 2012-05-09 上海华力微电子有限公司 一种利用紫外线照射光刻胶来缩小硅栅线宽的方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104528634A (zh) * 2014-12-16 2015-04-22 南京工业大学 一种纳米结构的侧壁成形制造方法
CN106553993A (zh) * 2015-09-28 2017-04-05 中国科学院微电子研究所 与cmos工艺兼容的纳米结构制备方法
WO2021008051A1 (zh) * 2019-07-16 2021-01-21 哈尔滨工业大学(深圳) 一种制备高纵宽比二氧化钛的垂直刻蚀工艺
CN112599669A (zh) * 2020-12-17 2021-04-02 华中科技大学 一种相变材料刻蚀方法及三维堆叠相变存储器

Also Published As

Publication number Publication date
CN103779190B (zh) 2019-08-06

Similar Documents

Publication Publication Date Title
US10685838B1 (en) Semiconductor structure providing for an increased pattern density on a substrate and method for forming same
TWI437667B (zh) 非揮發性記憶體與邏輯電路之整合方法
US9105478B2 (en) Devices and methods of forming fins at tight fin pitches
CN109427873A (zh) 具有粗糙阻挡层的金属栅极的结构和方法
US20100167548A1 (en) Method for forming fine pattern using quadruple patterning in semiconductor device
US9608200B2 (en) Hybrid metallic hard mask stack for MTJ etching
CN109216371A (zh) 制造半导体器件的方法以及半导体器件
WO2011102140A1 (ja) 半導体装置の製造方法
US10868244B2 (en) Multiple hard mask patterning to fabricate 20nm and below MRAM devices
CN103779190A (zh) 精细线条制备方法
CN103676491B (zh) 降低电子束光刻时光刻胶粗糙度的方法
CN104078366A (zh) 双重图形化鳍式晶体管的鳍结构制造方法
US20090227110A1 (en) Method of Forming Mask Pattern
CN105428317B (zh) 半导体器件制造方法
TWI259519B (en) Method of forming a semiconductor device
CN103676493B (zh) 降低线条粗糙度的混合光刻方法
CN105742153A (zh) 形成级联纳米线的方法
CN107424923A (zh) 一种自限制精确刻蚀硅的方法
CN103777466A (zh) 降低线条粗糙度的光刻方法
CN103676492A (zh) 电子束光刻方法
TW200941573A (en) Method for manufacturing semiconductor device
CN103681251B (zh) 混合光学和电子束光刻方法
CN113380704A (zh) 形成半导体器件的方法
CN105679662B (zh) 一种堆叠式围栅纳米线器件假栅电极制备方法
US20130122703A1 (en) Method for fabricating semiconductor device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant