CN103777466A - 降低线条粗糙度的光刻方法 - Google Patents

降低线条粗糙度的光刻方法 Download PDF

Info

Publication number
CN103777466A
CN103777466A CN201210395430.9A CN201210395430A CN103777466A CN 103777466 A CN103777466 A CN 103777466A CN 201210395430 A CN201210395430 A CN 201210395430A CN 103777466 A CN103777466 A CN 103777466A
Authority
CN
China
Prior art keywords
hard mask
etching
layer
roughness
electron beam
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201210395430.9A
Other languages
English (en)
Inventor
孟令款
贺晓彬
李春龙
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Institute of Microelectronics of CAS
Original Assignee
Institute of Microelectronics of CAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Institute of Microelectronics of CAS filed Critical Institute of Microelectronics of CAS
Priority to CN201210395430.9A priority Critical patent/CN103777466A/zh
Publication of CN103777466A publication Critical patent/CN103777466A/zh
Pending legal-status Critical Current

Links

Images

Abstract

本发明公开了一种降低线条粗糙度的光刻方法,包括:在衬底上形成结构材料层和硬掩模层;在硬掩模层上形成电子束光刻胶,执行电子束过曝光形成电子束光刻胶图形,其中增大曝光剂量以改善粗糙度;以电子束光刻胶图形为掩模,刻蚀形成硬掩模图形;以硬掩模图形为掩模,刻蚀结构材料层,形成所需要的精细线条。依照本发明的方法,采用材质不同的多层硬掩模层并且合理调整光刻条件,防止了电子束光刻胶侧壁粗糙度传递到下层的结构材料层,有效降低了线条的粗糙度,提高了工艺的稳定性,降低了器件性能的波动。

Description

降低线条粗糙度的光刻方法
技术领域
本发明涉及半导体集成电路制造领域,更具体地,涉及一种采用电子束过曝光技术来降低线条粗糙度的光刻方法。
背景技术
随着超大规模集成电路特征尺寸逐渐缩小,在半导体器件的制造方法中,进入22nm技术代后,普通的光学曝光的技术极限也已经到来。目前,45nm工艺节点之后,普遍采用i193nm浸入式光刻技术结合双曝光双刻蚀技术以制备更小的线条。22nm以下节点的精细图形通常需要采用电子束或EUV进行曝光和光刻。
关于EUV光刻技术,目前还处于研发阶段,尚有若干关键技术需要攻克及改进,还无法应用于大规模集成电路制造当中。相比之下,电子束曝光技术经过多年的发展,比较成熟,并且电子束曝光具有很高的精度,分辨率可以达到几个纳米,写出超精细图形的线条来,但效率较低,因而扫描精度和扫描效率的矛盾成为电子束光刻的主要矛盾。解决这个问题的关键技术就是解决电子束光刻系统和目前生产效率较高的光学光刻系统的匹配和混合光刻技术问题。一种可行的办法是大部分工艺由投影光刻机曝光或接触式曝光,超精细图形和套刻精度要求特别高的图形层采用电子束直写曝光。
另一方面,进入32nm节点工艺之后,线条粗糙度成为必须考虑的关键问题,具体包括线条边缘粗糙度(LER)与线条宽度粗糙度(LWR)。对于EUV或者电子束技术而言,都会遇到线条粗糙度的问题。特别地,当采用电子束曝光技术,对光刻胶(抗蚀剂)的要求更高,往往在线条分辨率与光刻胶厚度之间存在矛盾。越薄的光刻胶越能曝光出越小的线条,然而,这样薄的光刻胶由于刻蚀工艺不够高的选择性,往往在刻蚀过程中会早早损失掉,进而无法获得所需的线条,并且存在较严重的线条粗糙度问题。
发明内容
有鉴于此,本发明的目的在于通过改善电子束曝光条件来改善硬掩膜刻蚀后的线条粗糙度的问题。在电子束直写过程中,引入了过曝光技术,使得线条粗糙度大大降低。
实现本发明的上述目的,是通过提供一种降低线条粗糙度的光刻方法,包括:在衬底上形成结构材料层和硬掩模层;在硬掩模层上形成电子束光刻胶,执行电子束过曝光形成电子束光刻胶图形,其中增大曝光剂量以改善粗糙度;以电子束光刻胶图形为掩模,刻蚀形成硬掩模图形;以硬掩模图形为掩模,刻蚀结构材料层,形成所需要的精细线条。
其中,硬掩模层包括氧化硅、氮化硅、氮氧化硅及其组合。
其中,硬掩模层为氧化硅-氮化硅-氧化硅的叠层结构。
其中,电子束过曝光剂量为正常剂量的100%~200%。
其中,硬掩模层和/或结构材料层的刻蚀采用等离子体干法刻蚀技术。
其中,等离子体干法刻蚀采用CCP或ICP或TCP设备。
其中,刻蚀硬掩模和/或结构材料层之后还包括干法去胶和/或湿法腐蚀清洗。
其中,结构材料层为假栅电极层、金属栅电极层、局部互连层中的一种。
依照本发明的方法,采用材质不同的多层硬掩模层并且合理调整光刻条件,防止了电子束光刻胶侧壁粗糙度传递到下层的结构材料层,有效降低了线条的粗糙度,提高了工艺的稳定性,降低了器件性能的波动变化。
附图说明
以下参照附图来详细说明本发明的技术方案,其中:
图1为电子束光刻版图的示意图;
图2至图5为依照本发明的方法各步骤的剖面示意图;
图6A和图6B分别为现有技术曝光以及依照本发明的过曝光之后刻蚀硬掩模得到的线条图形的SEM示意图;以及
图7为依照本发明的方法的流程图。
具体实施方式
以下参照附图并结合示意性的实施例来详细说明本发明技术方案的特征及其技术效果。需要指出的是,类似的附图标记表示类似的结构,本申请中所用的术语“第一”、“第二”、“上”、“下”、“厚”、“薄”等等可用于修饰各种器件结构。这些修饰除非特别说明并非暗示所修饰器件结构的空间、次序或层级关系。
参考附图1,显示了电子束光刻版图的示意图,用于小线宽图形,如栅电极层、局部互连层等。在本发明中,精细图形FP被定义为超出了普通光学曝光的能力范围,需要采用电子束曝光的图形,可以制备图形尺寸小于22nm以下节点的线条。
参考图2,提供衬底1,在衬底1上依次形成结构材料层(由栅极绝缘层2、栅极导电层3构成)、以及硬掩膜层4,并涂覆光刻胶。衬底1依照器件用途需要而合理选择,可包括单晶体硅(Si)、SOI、单晶体锗(Ge)、GeOI、应变硅(Strained Si)、锗硅(SiGe),或是化合物半导体材料,例如氮化镓(GaN)、砷化镓(GaAs)、磷化铟(InP)、锑化铟(InSb),以及碳基半导体例如石墨烯、SiC、碳纳管等等。出于与CMOS工艺兼容的考虑,衬底1优选地为体S i或者SOI。在衬底1上通过LPCVD、PECVD、HDPCVD、RTO、化学氧化、MBE、ALD等方法沉积形成栅极绝缘层2,其材质可以是氧化硅、氮氧化硅、高k材料,其中高k材料包括但不限于铪基氧化物(例如HfO2、HfSiON、HfLaON)、金属氧化物(主要为副族和镧系金属元素氧化物,例如Al2O3、Ta2O5、TiO2、ZnO、ZrO2、CeO2、Y2O3、La2O3)、钙钛矿相氧化物(例如PbZrxTi1-xO3(PZT)、BaxSr1-xTiO3(BST))。在栅极绝缘层2上通过PECVD、HDPCVD、MOCVD、MBE、ALD、蒸发、溅射等沉积方法形成栅极导电层3。在前栅工艺中,栅极导电层3为掺杂多晶硅、金属及其氮化物,其中所述金属包括Al、Cu、Ti、Ta、W、Mo及其组合。在后栅工艺中,栅极导电层3可以是假栅极,包括多晶硅、非晶硅、微晶硅、非晶碳、非晶锗等及其组合。在栅极导电层3上通过LPCVD、PECVD、HDPCVD等方法沉积硬掩模层4,其可以是单层也可以是多层的层叠结构,其材质可以包括氧化硅、氮化硅、氮氧化硅及其组合。在本发明一个实施例中,硬掩模层4是ONO的多层结构,也即包括氧化硅的底层、氮化硅的中层以及氧化硅的顶层(图中并未显示该ONO的分层结构)。
参考图3,形成电子束光刻胶图形。在硬掩膜层4上涂覆光刻胶5,为适应于电子束直写技术的光刻胶,例如PMMA、环氧618、COP、7520、HSQ等等。栅极导电层3为要最终进行图形化的层。将栅极层3进行拆分,提取出电子束直写曝光的图形FP,并制作相应光刻版。具体地,采用对应于图1中图形FP的镂空金属板,采用电子束直写技术进行曝光,在异丙酮等显影液中显影,最后得出例如在22nm节点或以下的超精细图形,也即图中所示的光刻胶图形5P。
值得注意的是,当采用电子束技术进行光刻胶线条直写时,要得到40nm以下的线条就需要保证线条的高宽比在特定范围内,如有的电子束用光刻胶的要求是小于3∶1,就要根据写出的线条宽度来考虑能够旋涂的光刻胶的厚度。根据光刻要求,当线条的高宽比大于3∶1时,光刻胶线条容易出现倒塌或倾斜的现象,因此电子束胶的厚度要根据线宽要求保持在一定范围内。但如果光刻胶的厚度太小,就会导致另外一个重大挑战,即电子束胶是否能够保证硬掩膜的刻蚀。而且,在硬掩膜刻蚀过程中也会有一定的胶的损失,最终使得硬掩模的线条线宽难以保证或者粗糙度太差。另外,如果胶条的厚度太大,将使得光刻线条的分辨率降低,难以得到所需的超细线条。因此,为了防止发生侧倒,电子束光刻胶的厚度小于100nm,例如为80~100nm并且优选地为90nm。
另一方面,要改善电子束光刻线宽粗糙度,从原理上分析,目前有两个途径可以选择:
1.增加电子束胶的厚度。这个方案可以通过提高厚度来防止胶在刻蚀过程中完全损失,但缺点是由于胶厚的提高,线条的高宽比会变大。因此电子束在写40nm以下线条的时候容易出现倒塌及倾斜问题,无法得到稳定的40nm以下线条。
2.提高电子束胶的抗刻蚀性。这个方案可以在保证高宽比不变的情况下通过改变工艺条件来提高电子束胶的抗刻蚀性能,防止电子束胶被完全损失。这个方案也是我们主要研发方向。
电子束曝光系统可以是现有的改进SEM、高斯扫描系统、成型束系统、有限散射角投影式系统等等。本发明人发现,当增加曝光剂量时,光刻胶中聚合物成分交联程度增大,使得电子束光刻胶的抗刻蚀性明显提高,从而改进了其粗糙度。具体地,对于高斯扫描系统,曝光剂量增加为100%~200%例如110%~200%时(也即曝光剂量的增加比例为0~100%例如10%~100%),可以明显提高抗刻蚀性。进一步地,相对于现有技术的曝光剂量0.5~2.5×10-5C/cm2,在本发明一个实施例中的曝光剂量相应地增加为0.5或者0.55~5×10-5C/cm2。此外,作为其他实施例,曝光剂量可以是1×10-5C/cm2~2×10-4C/cm2
参照图4,以光刻胶图案5P为掩模,刻蚀硬掩模层4形成硬掩模图案4P。优选地,刻蚀停止在ONO结构的硬掩模层4的氮化硅材质的中层上,也即仅刻蚀去除了氧化硅材质的顶层。优选地,采用各向异性的刻蚀方法,例如等离子体刻蚀、反应离子刻蚀的干法刻蚀,以便得到垂直的线条。刻蚀气体可以是碳氟基气体,并且还可以包括惰性气体以及氧化性气体以调节刻蚀速率。上述干法刻蚀设备可以是CCP或ICP或TCP设备。形成图形后,借助干法和/或湿法去胶工艺去除光刻胶图案5P。其中,根据采用的刻蚀气体及其比例将形成不同的刻蚀形貌。如当采用CF4这种聚合物较少的刻蚀气体,将形成比较陡直的刻蚀形貌,但缺点是对光刻胶(PR)的选择比较低,需要额外精确地控制PR的损失;当采用CF4与CHF3、CH3F、CH2F2的组合时,能够对PR获得极高的选择比,但是得到的形貌较为倾斜。
图6A和图6B分别为现有技术曝光以及依照本发明的过曝光之后刻蚀硬掩模得到的线条图形的SEM示意图,可见依照本发明的电子束过曝光之后获得的线条粗糙度有了明显改善。
参照图5,以硬掩模图案4P为掩模,刻蚀结构材料层3/2,形成由结构材料层构成的精细线条3P。具体地,采用等离子体刻蚀、反应离子刻蚀(RIE)等干法刻蚀技术,可以先执行Cl2、HBr的主刻蚀,然后执行HBr与O2的过刻蚀,亦可视应用在主刻蚀步骤增加辅助性的刻蚀气体,如可以增加CHF3、CH3F或者CH2F2形成更多聚合物,起到保护侧壁的作用。其中,干法刻蚀设备可以是ICP、TCP、CCP设备。随后,优选地,采用干法刻蚀和/或湿法腐蚀工艺去除刻蚀过程中产生的聚合物及其颗粒,干法刻蚀例如采用氟基等离子体刻蚀,湿法腐蚀例如SPM(例如硫酸∶双氧水=4∶1)/APM(例如氨水∶双氧水∶去离子水=1∶1∶5或者0.5∶1∶5)湿法清洗。
以上以刻蚀栅极线条为例说明了本发明的一个实施例,然而实际上本发明的混合光刻方法可以应用于各种半导体结构,层2和3可以是任何的结构材料层,例如假栅极堆叠结构、局部互连结构、顶部焊垫结构等等。
依照本发明的方法,采用材质不同的多层硬掩模层并且合理调整光刻条件,防止了电子束光刻胶侧壁粗糙度传递到下层的结构材料层,有效降低了线条的粗糙度,提高了工艺的稳定性,降低了器件性能的波动变化。
尽管已参照一个或多个示例性实施例说明本发明,本领域技术人员可以知晓无需脱离本发明范围而对形成器件结构的方法做出各种合适的改变和等价方式。此外,由所公开的教导可做出许多可能适于特定情形或材料的修改而不脱离本发明范围。因此,本发明的目的不在于限定在作为用于实现本发明的最佳实施方式而公开的特定实施例,而所公开的器件结构及其制造方法将包括落入本发明范围内的所有实施例。

Claims (8)

1.一种降低线条粗糙度的光刻方法,包括:
在衬底上形成结构材料层和硬掩模层;
在硬掩模层上形成电子束光刻胶,执行电子束过曝光形成电子束光刻胶图形,其中增大曝光剂量以改善粗糙度;
以电子束光刻胶图形为掩模,刻蚀形成硬掩模图形;
以硬掩模图形为掩模,刻蚀结构材料层,形成所需要的精细线条。
2.如权利要求1所述的方法,其中,硬掩模层包括氧化硅、氮化硅、氮氧化硅及其组合。
3.如权利要求2所述的方法,其中,硬掩模层为氧化硅-氮化硅-氧化硅的叠层结构。
4.如权利要求1所述的方法,其中,电子束过曝光剂量为正常剂量的100%~200%。
5.如权利要求1所述的方法,其中,硬掩模层和/或结构材料层的刻蚀采用等离子体干法刻蚀技术。
6.如权利要求5所述的方法,其中,等离子体干法刻蚀采用CCP或ICP或TCP设备。
7.如权利要求1所述的方法,其中,刻蚀硬掩模和/或结构材料层之后还包括干法去胶和/或湿法腐蚀清洗。
8.如权利要求1所述的方法,其中,结构材料层为假栅电极层、金属栅电极层、局部互连层中的一种。
CN201210395430.9A 2012-10-17 2012-10-17 降低线条粗糙度的光刻方法 Pending CN103777466A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201210395430.9A CN103777466A (zh) 2012-10-17 2012-10-17 降低线条粗糙度的光刻方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201210395430.9A CN103777466A (zh) 2012-10-17 2012-10-17 降低线条粗糙度的光刻方法

Publications (1)

Publication Number Publication Date
CN103777466A true CN103777466A (zh) 2014-05-07

Family

ID=50569877

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210395430.9A Pending CN103777466A (zh) 2012-10-17 2012-10-17 降低线条粗糙度的光刻方法

Country Status (1)

Country Link
CN (1) CN103777466A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110161809A (zh) * 2019-05-27 2019-08-23 德淮半导体有限公司 一种改进光刻胶粘结性的结构及其方法
WO2021008051A1 (zh) * 2019-07-16 2021-01-21 哈尔滨工业大学(深圳) 一种制备高纵宽比二氧化钛的垂直刻蚀工艺

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005114269A1 (en) * 2004-05-21 2005-12-01 Pirelli & C. S.P.A. Method of making grating structures having high aspect ratio
CN101759140A (zh) * 2008-12-24 2010-06-30 中国科学院半导体研究所 一种制备硅纳米结构的方法
CN101968605A (zh) * 2009-05-15 2011-02-09 信越化学工业株式会社 蚀刻方法和光掩模坯料的加工方法
CN103676492A (zh) * 2012-09-21 2014-03-26 中国科学院微电子研究所 电子束光刻方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005114269A1 (en) * 2004-05-21 2005-12-01 Pirelli & C. S.P.A. Method of making grating structures having high aspect ratio
CN101759140A (zh) * 2008-12-24 2010-06-30 中国科学院半导体研究所 一种制备硅纳米结构的方法
CN101968605A (zh) * 2009-05-15 2011-02-09 信越化学工业株式会社 蚀刻方法和光掩模坯料的加工方法
CN103676492A (zh) * 2012-09-21 2014-03-26 中国科学院微电子研究所 电子束光刻方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110161809A (zh) * 2019-05-27 2019-08-23 德淮半导体有限公司 一种改进光刻胶粘结性的结构及其方法
CN110161809B (zh) * 2019-05-27 2022-06-28 德淮半导体有限公司 一种改进光刻胶粘结性的结构及其方法
WO2021008051A1 (zh) * 2019-07-16 2021-01-21 哈尔滨工业大学(深圳) 一种制备高纵宽比二氧化钛的垂直刻蚀工艺

Similar Documents

Publication Publication Date Title
US10685838B1 (en) Semiconductor structure providing for an increased pattern density on a substrate and method for forming same
JP5532303B2 (ja) 半導体デバイスのクリティカルディメンジョンを縮小する方法
US8373217B2 (en) Epitaxial fabrication of fins for FinFET devices
US20150287595A1 (en) Devices and methods of forming fins at tight fin pitches
US9608200B2 (en) Hybrid metallic hard mask stack for MTJ etching
US20120108068A1 (en) Method for Patterning Sublithographic Features
US9608195B2 (en) Magnetic tunnel junction device
US10269581B2 (en) Method of fabricating a semiconductor structure
JPWO2011102140A1 (ja) 半導体装置の製造方法
CN103779190B (zh) 精细线条制备方法
CN104078366A (zh) 双重图形化鳍式晶体管的鳍结构制造方法
US10446741B2 (en) Multiple hard mask patterning to fabricate 20nm and below MRAM devices
US20090227110A1 (en) Method of Forming Mask Pattern
CN103676491B (zh) 降低电子束光刻时光刻胶粗糙度的方法
CN105428317A (zh) 半导体器件制造方法
US20090189242A1 (en) Method for non-selective shallow trench isolation reactive ion etch for patterning hybrid-oriented devices compatible with high-performance highly-integrated logic devices
KR20190101307A (ko) 60nm 이하 mram 디바이스용 극대 높이 최상 전극을 규정하기 위한 금속/유전체/금속 하이브리드 하드 마스크
CN103777466A (zh) 降低线条粗糙度的光刻方法
CN103676493B (zh) 降低线条粗糙度的混合光刻方法
US11189492B2 (en) Semiconductor structure and fabrication method thereof
CN103676492A (zh) 电子束光刻方法
TW200941573A (en) Method for manufacturing semiconductor device
US7199034B1 (en) Flash memory device and method for fabricating the same
CN103681251B (zh) 混合光学和电子束光刻方法
US7482225B2 (en) Method of fabricating floating gate of flash memory device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20140507