CN103663357A - 硅的刻蚀方法 - Google Patents

硅的刻蚀方法 Download PDF

Info

Publication number
CN103663357A
CN103663357A CN201210346875.8A CN201210346875A CN103663357A CN 103663357 A CN103663357 A CN 103663357A CN 201210346875 A CN201210346875 A CN 201210346875A CN 103663357 A CN103663357 A CN 103663357A
Authority
CN
China
Prior art keywords
silicon
mask layer
window
silicon substrate
corrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201210346875.8A
Other languages
English (en)
Other versions
CN103663357B (zh
Inventor
苏佳乐
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
CSMC Technologies Corp
Original Assignee
Wuxi CSMC Semiconductor Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Wuxi CSMC Semiconductor Co Ltd filed Critical Wuxi CSMC Semiconductor Co Ltd
Priority to CN201210346875.8A priority Critical patent/CN103663357B/zh
Priority to US14/411,931 priority patent/US9371224B2/en
Priority to JP2015531443A priority patent/JP6235023B2/ja
Priority to PCT/CN2013/082885 priority patent/WO2014044122A1/zh
Publication of CN103663357A publication Critical patent/CN103663357A/zh
Application granted granted Critical
Publication of CN103663357B publication Critical patent/CN103663357B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00388Etch mask forming
    • B81C1/00396Mask characterised by its composition, e.g. multilayer masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00388Etch mask forming
    • B81C1/00412Mask characterised by its behaviour during the etching process, e.g. soluble masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00619Forming high aspect ratio structures having deep steep walls
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00626Processes for achieving a desired geometry not provided for in groups B81C1/00563 - B81C1/00619
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/03Static structures
    • B81B2203/0323Grooves
    • B81B2203/033Trenches
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • B81C2201/0132Dry etching, i.e. plasma etching, barrel etching, reactive ion etching [RIE], sputter etching or ion milling

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Geometry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Micromachines (AREA)

Abstract

本发明公开一种硅的刻蚀方法,用以在硅衬底上刻蚀不同宽度尺寸的硅槽,包括:S1、提供硅衬底;S2、在硅衬底上沉积掩膜层;S3、对掩膜层进行腐蚀,形成不同宽度尺寸的窗口,其中至少在非最小宽度尺寸窗口的底部,预留一定厚度的掩膜层,以满足S4步骤后,所有硅槽的深度相同;S4、对位于窗口底部的掩膜层和硅衬底进行腐蚀,形成硅槽。本发明通过在非最小宽度尺寸窗口的底部,预留一定厚度的掩膜层,让该一定厚度的掩膜层先保护住较大的窗口,让小的窗口先刻,以实现最终获得的硅槽的深度相同。

Description

硅的刻蚀方法
技术领域
本发明属于微机电子机械系统加工领域,尤其涉及一种在硅表面进行刻蚀的方法。
背景技术
微机电子机械系统(Micro-Electro-Mechanical Systems,MEMS)是在微电子技术基础上发展起来的微小型化系统,它是集物理、化学和生物的敏感器(执行信息获取功能)、执行器与信息处理和存储为一体的微型集成系统。采用MEMS技术制作的微传感器、微执行器、微型构件、微机械光机电器件、真空微电子器件、电力电子器件等在航空、航天、汽车、生物医学、环境监控、军事以及几乎人们所接触到的所有领域中都有着十分广阔的应用前景。
光刻(photo lithograph)是MEMS器件制作过程中出现最多的工艺步骤,光刻质量和精度直接影响后续工艺的质量和精度,按其空间特性可以分为平面光刻和立体光刻。
MEMS光刻工艺是由在IC工艺基础上发展起来的。首先在衬底上涂光抗蚀剂(即光刻胶,photoresist,PR)通过曝光、显影等步骤将掩膜版上的图形转移到衬底上。抗蚀剂的图形可以作为后续工艺的掩膜,进行刻蚀、离子注入等工艺,最后去除该层抗蚀剂。基于硅材料体硅工艺制作的MEMS微传感器和微执行器经过几十年的研究,已经有比较成熟的设计方法和工艺基础,并开始产业化。硅材料体硅工艺是MEMS研究的主要方向之一,而体硅工艺采用光刻工艺与IC采用光刻工艺有一定的差异。
在超大规模集成电路制造工艺中,硅的深槽隔离技术已成为推动集成电路产业向更高水平发展的一个必要手段。将深槽隔离技术应用于CMOS电路,能有效地克服闩锁效应;应用于双极电路,能大大减小寄生电容,提高击穿电压;而在4兆以上的DRAM中,则需采用深槽技术制作存贮电容。所有这些应用关键在于能否获得深槽。
反应离子深刻蚀(DRIE)可以得到表面平整的高深宽比结构,因而这一微加工工艺成为MEMS制造等领域中的主流工艺之一。
在MEMS的DRIE腐蚀中,由于掩膜窗口的大小不同,腐蚀的深度不同。参图1所示,用DRIE腐蚀Si,SiO2作为掩膜层,由于两个窗口的尺寸不一样(A>B),通常A的腐蚀深度比B的腐蚀深度大,如果深度不同,会影响产品的性能。
有鉴于此,有必要提供一种硅的刻蚀方法,以使得所刻蚀的硅槽深度相同。
发明内容
本发明解决的技术问题在于提供一种硅的刻蚀方法,以使得在硅衬底上刻蚀不同宽度尺寸的硅槽时,所获得的硅槽深度相同。
为实现上述目的,本发明提供如下技术方案:
一种硅的刻蚀方法,用以在硅衬底上刻蚀不同宽度尺寸的硅槽,包括:
S1、提供硅衬底;
S2、在硅衬底上沉积掩膜层;
S3、对掩膜层进行腐蚀,形成不同宽度尺寸的窗口,其中至少在非最小宽度尺寸窗口的底部,预留一定厚度的掩膜层,以满足S4步骤后,所有硅槽的深度相同;
S4、对位于窗口底部的掩膜层和硅衬底进行腐蚀,形成硅槽。
优选的,在上述的硅的刻蚀方法中,所述掩膜层为二氧化硅、SiN或者光刻胶。
优选的,在上述的硅的刻蚀方法中,所述步骤S4中,采用DRIE的方法对位于窗口内的掩膜层和硅衬底进行腐蚀。
优选的,在上述的硅的刻蚀方法中,所述步骤S4中,采用氟基气体对位于窗口内的掩膜层和硅衬底进行腐蚀。
优选的,在上述的硅的刻蚀方法中,所述步骤S4中,采用氯基气体对位于窗口内的掩膜层和硅衬底进行腐蚀。
与现有技术相比,本发明的有益效果在于:本发明通过在非最小宽度尺寸窗口的底部,预留一定厚度的掩膜层,让该一定厚度的掩膜层先保护住较大的窗口,让小的窗口先刻,以实现最终获得的硅槽的深度相同。
附图说明
为了更清楚地说明本申请实施例或现有技术中的技术方案,下面将对实施例或现有技术描述中所需要使用的附图作简单地介绍,显而易见地,下面描述中的附图仅仅是本申请中记载的一些实施例,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其他的附图。
图1所示为现有技术中硅槽光刻的示意图;
图2所示为本发明具体实施例中硅的刻蚀方法的流程图;
图3a至3c所示为本发明具体实施例中硅槽刻蚀方法的示意图。
具体实施方式
参图2所示,本发明实施例公开了一种硅的刻蚀方法,用以在硅衬底上刻蚀不同宽度尺寸的硅槽,包括:
S1、提供硅衬底;
S2、在硅衬底上沉积掩膜层;
S3、对掩膜层进行腐蚀,形成不同宽度尺寸的窗口,其中至少在非最小宽度尺寸窗口的底部,预留一定厚度的掩膜层,以满足S4步骤后,所有硅槽的深度相同;
S4、对位于窗口底部的掩膜层和硅衬底进行腐蚀,形成硅槽。
上述步骤S1中,衬底在使用前需要对其进行清洗,清洗可以采用RCA清洗工艺。
上述步骤S2中,掩膜层为二氧化硅层,结合器件制作工艺的需要,掩膜层也可以是SiN或者光刻胶。
本发明中,掩膜层优选为二氧化硅层。二氧化硅层是一种物理和化学性能都十分优良的介质薄膜,具有介电性能优良、介质损耗小、稳定性好等优点,在半导体器件和集成电路中常作为隔离层、多晶硅和金属间以及多层金属布线间的绝缘层、MOS管的栅极介质层、刻蚀及注入用掩膜等使用。二氧化硅层的制备方法可以采用现有的通用手段,例如等离子体体增强化学气相淀积(Plasma-Enhanced ChemicalVapor Deposition,PECVD)、高温氧化或低压化学气相淀积(LPCVD)方法。
上述步骤S3中,“至少在非最小宽度尺寸窗口的底部,预留一定厚度的掩膜层”包含以下两种情况:
(1)在最小的窗口的底部也预留一定厚度的掩膜层,此时其他所有窗口的底部都必须预留一定厚度的掩膜层,且窗口越大,预留的掩膜层越厚。
(2)在最小的窗口的底部不预留一定厚度的掩膜层,即最小窗口的底部恰好露出硅衬底,此时其他窗口的底部必须要预留一定厚度的掩膜层。
预留的掩膜层形成方法包括两种情况:一是在对掩膜层进行腐蚀过程中,控制腐蚀的深度而保留的具有一定厚度的掩膜层;二是将掩膜层刻穿,然后在形成的窗口底部再沉积一定厚度的掩膜层,接着光刻并腐蚀尺寸大的窗口里的掩膜层。
预留的掩膜层的厚度,可以根据窗口的尺寸、掩膜层的腐蚀速率以及Si衬底的腐蚀速率进行计算,窗口的尺寸与Si衬底的腐蚀速率的关系可通过实验测试获得。
参图1,关系式满足:
TSiO2=(D1-D2)*ESiO2/ESi
其中,TSiO2:二氧化硅厚度,D1,D2为深槽厚度,ESiO2,ESi分别为SiO2和Si的腐蚀速率。
上述步骤S4中,优选采用DRIE的方法对窗口底部的掩膜层或硅衬底进行腐蚀,在其他实施例中,也可采用RIE的方法,通用的,可以采用氟基气体对窗口底部的掩膜层或硅衬底进行腐蚀,也可以采用氯基气体对窗口底部的掩膜层或硅衬底进行腐蚀。
DRIE刻蚀技术通过物理作用和化学作用相结合的办法来去除被刻蚀的薄膜,具有刻蚀速度快、选择比高、刻蚀损伤小、大面积均匀性好、刻蚀断面轮廓可控性高和刻蚀表面平整光滑等优点,被广泛用于金属及介质薄膜的干法刻蚀中。DRIE刻蚀的各向异性高,因此侧壁形貌较为陡直。
下面将结合本发明实施例中的附图,对本发明实施例中的技术方案进行详细的描述,显然,所描述的实施例仅仅是本发明一部分实施例,而不是全部的实施例。基于本发明中的实施例,本领域普通技术人员在没有做出创造性劳动的前提下所获得的所有其他实施例,都属于本发明保护的范围。
由于DRIE腐蚀SiO2的速率较慢,在腐蚀较快区域,预留一定厚度的SiO2(厚度根据A与B的腐蚀差异和DRIE对SiO2的腐蚀速率计算出)。这样就需要做两次光刻来分别腐蚀不同窗口对应的SiO2
参图3a所示,在腐蚀前,在窗口A的底部预留一定厚度的SiO2,B窗口的底部与Si衬底恰好接触。
参图3b所示,采用DRIE的方法对A和B窗口底部的SiO2和硅衬底进行腐蚀,A窗口先对SiO2进行腐蚀,同时B窗口开始对硅衬底进行腐蚀,当A窗口底部的SiO2腐蚀完毕后,B窗口底部的硅衬底已经腐蚀了一定的深度。
参图3c所示,A窗口底部的硅衬底开始腐蚀,且腐蚀速度大于B窗口底部硅衬底的腐蚀速度,在腐蚀结束后,A窗口下所获得的硅槽的深度恰好等于B窗口下方对应的硅槽的深度。
综上所述,本发明通过在非最小宽度尺寸窗口的底部,预留一定厚度的掩膜层,让该一定厚度的掩膜层先保护住较大的窗口,让小的窗口先刻,以实现最终获得的硅槽的深度相同。
以上实施例的说明只是用于帮助理解本发明的方法及其核心思想。应当指出,对于本技术领域的普通技术人员来说,在不脱离本发明原理的前提下,还可以对本发明进行若干改进和修饰,这些改进和修饰也落入本发明权利要求的保护范围内。
对所公开的实施例的上述说明,使本领域专业技术人员能够实现或使用本发明。对这些实施例的多种修改对本领域的专业技术人员来说将是显而易见的,本文中所定义的一般原理可以在不脱离本发明的精神或范围的情况下,在其它实施例中实现。因此,本发明将不会被限制于本文所示的这些实施例,而是要符合与本文所公开的原理和新颖特点相一致的最宽的范围。

Claims (5)

1.一种硅的刻蚀方法,用以在硅衬底上刻蚀不同宽度尺寸的硅槽,其特征在于,包括:
S1、提供硅衬底;
S2、在硅衬底上沉积掩膜层;
S3、对掩膜层进行腐蚀,形成不同宽度尺寸的窗口,其中至少在非最小宽度尺寸窗口的底部,预留一定厚度的掩膜层,以满足S4步骤后,所有硅槽的深度相同;
S4、对位于窗口底部的掩膜层和硅衬底进行腐蚀,形成硅槽。
2.根据权利要求1所述的硅的刻蚀方法,其特征在于:所述掩膜层为二氧化硅、SiN或者光刻胶。
3.根据权利要求1所述的硅的刻蚀方法,其特征在于:所述步骤S4中,采用DRIE的方法对位于窗口内的掩膜层和硅衬底进行腐蚀。
4.根据权利要求1所述的硅的刻蚀方法,其特征在于:所述步骤S4中,采用氟基气体对位于窗口内的掩膜层和硅衬底进行腐蚀。
5.根据权利要求1所述的硅的刻蚀方法,其特征在于:所述步骤S4中,采用氯基气体对位于窗口内的掩膜层和硅衬底进行腐蚀。
CN201210346875.8A 2012-09-18 2012-09-18 硅的刻蚀方法 Active CN103663357B (zh)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN201210346875.8A CN103663357B (zh) 2012-09-18 2012-09-18 硅的刻蚀方法
US14/411,931 US9371224B2 (en) 2012-09-18 2013-09-03 Silicon etching method
JP2015531443A JP6235023B2 (ja) 2012-09-18 2013-09-03 シリコンエッチング法
PCT/CN2013/082885 WO2014044122A1 (zh) 2012-09-18 2013-09-03 硅的刻蚀方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201210346875.8A CN103663357B (zh) 2012-09-18 2012-09-18 硅的刻蚀方法

Publications (2)

Publication Number Publication Date
CN103663357A true CN103663357A (zh) 2014-03-26
CN103663357B CN103663357B (zh) 2017-07-07

Family

ID=50302275

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210346875.8A Active CN103663357B (zh) 2012-09-18 2012-09-18 硅的刻蚀方法

Country Status (4)

Country Link
US (1) US9371224B2 (zh)
JP (1) JP6235023B2 (zh)
CN (1) CN103663357B (zh)
WO (1) WO2014044122A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105621351A (zh) * 2015-12-24 2016-06-01 中国电子科技集团公司第五十五研究所 一种rf mems开关的圆片级封装方法
CN111879832A (zh) * 2020-06-12 2020-11-03 宁波水表(集团)股份有限公司 一种用于在自来水中进行余氯检测的传感器及其制备方法
WO2023077602A1 (zh) * 2021-11-04 2023-05-11 长鑫存储技术有限公司 半导体结构及其制备方法
CN117761828A (zh) * 2023-12-22 2024-03-26 广东工业大学 一种用于安装弧形光纤的硅v槽阵列的加工方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2540893A (en) * 2013-07-22 2017-02-01 Atlantic Inertial Systems Ltd DRIE lead silicon etching process
JP6590510B2 (ja) * 2015-04-20 2019-10-16 キヤノン株式会社 シリコンウエハの加工方法
JP6927530B2 (ja) * 2018-11-16 2021-09-01 国立大学法人 東京大学 櫛歯型素子の製造方法
CN113496946B (zh) * 2021-06-15 2024-04-19 南方科技大学 一种单片层间通孔的制备方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1336573A (zh) * 2000-08-02 2002-02-20 联华电子股份有限公司 光刻蚀刻制作工艺
WO2005008745A2 (en) * 2003-07-03 2005-01-27 The Regents Of The University Of California Selective etching of silicon carbide films
CN1946629A (zh) * 2004-03-15 2007-04-11 松下电工株式会社 制造半导体器件的方法
US7247247B2 (en) * 2003-05-06 2007-07-24 Walsin Lihwa Corporation Selective etching method
CN102569166A (zh) * 2012-03-09 2012-07-11 上海宏力半导体制造有限公司 改善应力的浅槽隔离制造方法以及半导体器件制造方法
CN102623316A (zh) * 2011-01-27 2012-08-01 无锡华润上华半导体有限公司 制备沟槽底部辅助栅介质层以及沟槽dmos管的方法
CN102616733A (zh) * 2012-04-17 2012-08-01 中国工程物理研究院电子工程研究所 双掩膜浓硼掺杂soi mems加工方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62234340A (ja) 1986-04-04 1987-10-14 Nec Corp 誘電体分離基板の製造方法
JPH1116885A (ja) * 1997-06-20 1999-01-22 Sony Corp ドライエッチング方法
US20010045527A1 (en) 2000-04-05 2001-11-29 Wissman Barry Dean Electron-beam cured polymer mask for DRIE micro-machining
TW478062B (en) * 2000-12-05 2002-03-01 Nat Science Council A method of surface treatment on the improvement of electrical properties for doped SiO2 films
TW200414344A (en) * 2002-09-06 2004-08-01 Tokyo Electron Ltd Method and apparatus for etching Si
DE10301291B3 (de) * 2003-01-15 2004-08-26 Infineon Technologies Ag Verfahren zum Einbringen von eine unterschiedliche Dimensionierung aufweisenden Strukturen in ein Substrat
US7560039B2 (en) * 2004-09-10 2009-07-14 Lexmark International, Inc. Methods of deep reactive ion etching
US7629255B2 (en) * 2007-06-04 2009-12-08 Lam Research Corporation Method for reducing microloading in etching high aspect ratio structures
JP2007294994A (ja) * 2007-06-25 2007-11-08 Renesas Technology Corp 半導体装置の製造方法
US20090072355A1 (en) * 2007-09-17 2009-03-19 International Business Machines Corporation Dual shallow trench isolation structure
JP5308080B2 (ja) * 2008-06-18 2013-10-09 Sppテクノロジーズ株式会社 シリコン構造体の製造方法及びその製造装置並びにその製造プログラム
JP2011114216A (ja) * 2009-11-27 2011-06-09 Toshiba Corp 半導体装置の製造方法
CN102569116B (zh) 2010-12-30 2014-04-16 中芯国际集成电路制造(上海)有限公司 适于源漏导通检测的检测结构及其检测方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1336573A (zh) * 2000-08-02 2002-02-20 联华电子股份有限公司 光刻蚀刻制作工艺
US7247247B2 (en) * 2003-05-06 2007-07-24 Walsin Lihwa Corporation Selective etching method
WO2005008745A2 (en) * 2003-07-03 2005-01-27 The Regents Of The University Of California Selective etching of silicon carbide films
CN1946629A (zh) * 2004-03-15 2007-04-11 松下电工株式会社 制造半导体器件的方法
CN102623316A (zh) * 2011-01-27 2012-08-01 无锡华润上华半导体有限公司 制备沟槽底部辅助栅介质层以及沟槽dmos管的方法
CN102569166A (zh) * 2012-03-09 2012-07-11 上海宏力半导体制造有限公司 改善应力的浅槽隔离制造方法以及半导体器件制造方法
CN102616733A (zh) * 2012-04-17 2012-08-01 中国工程物理研究院电子工程研究所 双掩膜浓硼掺杂soi mems加工方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105621351A (zh) * 2015-12-24 2016-06-01 中国电子科技集团公司第五十五研究所 一种rf mems开关的圆片级封装方法
CN105621351B (zh) * 2015-12-24 2017-11-07 中国电子科技集团公司第五十五研究所 一种rf mems开关的圆片级封装方法
CN111879832A (zh) * 2020-06-12 2020-11-03 宁波水表(集团)股份有限公司 一种用于在自来水中进行余氯检测的传感器及其制备方法
WO2023077602A1 (zh) * 2021-11-04 2023-05-11 长鑫存储技术有限公司 半导体结构及其制备方法
CN117761828A (zh) * 2023-12-22 2024-03-26 广东工业大学 一种用于安装弧形光纤的硅v槽阵列的加工方法

Also Published As

Publication number Publication date
JP2015534726A (ja) 2015-12-03
WO2014044122A1 (zh) 2014-03-27
JP6235023B2 (ja) 2017-11-22
US9371224B2 (en) 2016-06-21
US20150140823A1 (en) 2015-05-21
CN103663357B (zh) 2017-07-07

Similar Documents

Publication Publication Date Title
CN103663357A (zh) 硅的刻蚀方法
JP4585745B2 (ja) 半導体デバイスを形成する方法
US8722537B2 (en) Multi-sacrificial layer and method
CN103738914B (zh) Mems器件的制造方法
CN105565260A (zh) 嵌段共聚物自组装制造纳米结构的方法
CN102923642A (zh) 一种高深宽比硅结构的侧壁平滑方法
CN110739212A (zh) 硬掩膜的制备方法及半导体器件的制造方法
Mu et al. Fabrication of high aspect ratio silicon micro-structures based on aluminum mask patterned by IBE and RIE processing
US20110117747A1 (en) Method of fabricating single chip for integrating field-effect transistor into mems structure
Lin et al. Deep dry etching of fused silica using C 4 F 8/Ar inductively coupled plasmas
CN104465489B (zh) 半导体器件及其形成方法
KR20200004442A (ko) 유체 어셈블리 기판 및 그 제조방법
US20060249863A1 (en) Prism manufacturing method
CN209835625U (zh) Mems器件
CN104261345A (zh) 干法刻蚀微电机系统牺牲层的方法
CN104157556A (zh) 金属硬掩模开口刻蚀方法
US7410901B2 (en) Submicron device fabrication
CN105140177A (zh) 阵列基板的制备方法,阵列基板、显示面板、显示装置
CN105448743A (zh) 一种二硫化钼场效应管的制作方法
CN104163398A (zh) 半导体器件中深槽的填充结构及其填充方法
TWI390600B (zh) A wafer circuit protection structure and its manufacturing method
CN104637866A (zh) 硅通孔刻蚀方法
CN105575766A (zh) 一种半导体器件及其制造方法、电子装置
Kant et al. Suspended microstructures made using silicon migration
CN104425221B (zh) 图形化方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171009

Address after: 214028 Xinzhou Road, Wuxi national hi tech Industrial Development Zone, Jiangsu, China, No. 8

Patentee after: Wuxi Huarun Shanghua Technology Co., Ltd.

Address before: 214028 Wuxi provincial high tech Industrial Development Zone, Hanjiang Road, No. 5, Jiangsu, China

Patentee before: Wuxi CSMC Semiconductor Co., Ltd.