CN103460347A - 用于沉积斜面保护膜的方法 - Google Patents

用于沉积斜面保护膜的方法 Download PDF

Info

Publication number
CN103460347A
CN103460347A CN2011800499550A CN201180049955A CN103460347A CN 103460347 A CN103460347 A CN 103460347A CN 2011800499550 A CN2011800499550 A CN 2011800499550A CN 201180049955 A CN201180049955 A CN 201180049955A CN 103460347 A CN103460347 A CN 103460347A
Authority
CN
China
Prior art keywords
plasma
wafer
bevel edge
film
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011800499550A
Other languages
English (en)
Other versions
CN103460347B (zh
Inventor
纽戈·希恩
帕特里克·钟
金润相
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN103460347A publication Critical patent/CN103460347A/zh
Application granted granted Critical
Publication of CN103460347B publication Critical patent/CN103460347B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • H01J37/32376Scanning across large workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
    • H01L21/2007Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Abstract

一种以保护等离子体室中的晶片的斜面边缘的使用局部的等离子体沉积膜的方法。该方法包括调节可移动电极和固定电极之间的电极间隙,所述晶片被设置在可移动电极和固定电极中的一个上,该间隙距离配置为防止在晶片的中心部分上面形成等离子体,该间隙距离尺寸还设置成使得在调节后形成晶片斜面边缘的周围的等离子体可持续的条件。该方法还包括使沉积气体流入等离子体室。该方法包括使用加热器维持卡盘温度,该卡盘温度被配置成促进斜面边缘上的膜沉积。该方法进一步包括从沉积气体产生局部的等离子体用于在斜面边缘上膜的沉积。

Description

用于沉积斜面保护膜的方法
背景技术
在半导体产品的制造中,依次通过沉积、蚀刻和抛光各种层来处理衬底(例如,半导体晶片)以产生半导体器件。更具体地,在这些处理步骤中经常采用等离子体增强蚀刻和晶片键合。
然而,蚀刻工艺往往在衬底边缘或斜面侵蚀,且晶片键合工艺往往在晶片的边缘或斜面产生负斜率,同时暴露键合材料。图1A-B和2A-B示出了在通孔蚀刻和晶片键合中的这些问题的例子。
图1A和1B展示了在通孔蚀刻工艺中在衬底边缘或斜面侵蚀的蚀刻工艺的问题。图1A示出了蚀刻之前的衬底100和衬底边缘区域102。在图1A中,蚀刻后掩膜106保留在衬底100上。厚度110反映蚀刻前衬底的原始厚度。
图1B显示了蚀刻后的衬底边缘区域112。在图1B中,区域114表示其中蚀刻后衬底边缘或斜面变成黑硅的区域。黑硅是已经被蚀刻剂侵蚀的原始的衬底的粗燥的部分。图1B的厚度120大幅地小于晶片的原始厚度,大幅地增加了斜面坍塌的可能性。此外,黑硅区域114会捕获污染物,这些污染物可能会污染未来的处理步骤。
为了解决在蚀刻或其它类型的材料去除或穿孔的工艺中上述的斜面坍塌的问题,使用在衬底边缘或斜面厚的保护膜或抗蚀刻牺牲膜,以使衬底斜面坍塌最小化。另一种晶片斜面保护的方法利用称为遮蔽环的处理装备,遮蔽环放置在晶片的斜面区域的顶部或稍高于晶片。然而,遮蔽环通常引入倾斜和颗粒的问题。因此,这个工艺需要许多限定膜在衬底边缘的阶段。特别是如果在衬底边缘或斜面的膜沉积需要单独的专用设备的时候,这是有问题的。
图2A-B示出了在边缘或斜面附近的晶片键合中的问题的例子。图2A示出下晶片202、上晶片204、和键合材料206。键合材料通常是某些类型的有机材料。在一般情况下,在晶片键合工艺后进行化学机械抛光(CMP)。图2B显示了CMP后键合的晶片。具体而言,区域220示出了键合材料在晶片的边缘或斜面暴露。如果已暴露键合材料,会产生副作用和其他不可预知的影响。此外,区域220示出了晶片的边缘或斜面附近的负斜率。对于各种各样的原因,半导体制造商可能更喜欢晶片的边缘附近的正斜率的几何形状。负斜率和暴露的键合材料可能会出现其他的问题,例如底切问题或脱层问题。
为了解决斜面负斜率的问题,可以采用CMP以塑造边缘或斜面回到正斜率。然而,这种解决方案是昂贵的,并且没有解决暴露的键合材料的问题。
附图说明
本发明通过举例的方式而不是通过限制的方式示出,在附图中,其中相同的参考数字表示类似的元件,并且其中:
图1A是通孔蚀刻之前的衬底边缘的示意图。
图1B是通孔蚀刻后的衬底边缘的示意图。
图2A是晶片键合后和CMP之前的衬底边缘的示意图。
图2B是晶片键合后和CMP后的衬底边缘的示意图。
图3A显示了根据本发明的一种实施方式在衬底边缘使用局部膜沉积的在通孔蚀刻之前的衬底边缘的示意图。
图3B示出了根据本发明的一种实施方式在衬底边缘使用局部膜沉积的在通孔蚀刻后的衬底边缘的示意图。
图4示出了根据本发明的一种实施方式在衬底边缘使用局部膜沉积的在晶片键合后和CMP后的衬底边缘的示意图。
图5A示出了根据本发明的一种实施方式用于在斜面上沉积保护膜的通用的设备。
图5B示出了根据本发明的一种实施方式的图3A所示的陶瓷部分的放大示意图。
图6示出了根据本发明的一种实施方式用于在斜面边缘上产生保护膜的方法步骤。
图7示出了根据本发明的一种实施方式的图3A的通用的设备加上用于在斜面边原位清洁的感应式天线。
图8示出了根据本发明的一种实施方式的用于膜沉积之后原位感应清洁的方法步骤。
具体实施方式
现在将参照其在附图中示出的几个实施方式详细描述本发明。在下面的说明中,为了提供对本发明的全面理解,阐述了多个具体的细节。然而,对于本领域技术人员显而易见的是,可以不通过这些具体细节中的一些或全部来实施本发明。在其它情形下,为了避免不必要地使本发明变得不清楚,未详细描述公知的处理操作和/或构造。
本发明解决了在通孔蚀刻和晶片键合中至少所面临的问题。在一种实施方式中,本发明涉及以保护等离子体室中的一个晶片或多个晶片的斜面边缘的使用局部的等离子体沉积膜的方法。该方法包括调节放置有晶片的可移动电极和固定电极之间的电极的间隙。调节电极的间隙到间隙距离,该间隙距离被配置为防止在晶片的中心部分上面形成等离子体,而在晶片的斜面边缘周围仍然可能形成等离子体可持续的条件。该方法还包括使沉积气体流入等离子体室。该方法进一步包括使用加热器保持卡盘温度,该卡盘温度被配置为促进斜面边缘上的膜沉积。该方法还包括从沉积气体产生局部的等离子体用于在斜面边缘上沉积膜。
在一种实施方式中,本发明涉及以保护一个晶片或多个晶片的斜面边缘的使用局部的等离子体沉积膜的方法。该方法包括调节到所述等离子体室的沉积气体的流量到被配置为防止在所述晶片的中心部分上面形成等离子体的压强,还调节所述压强以使得在所述调节之后形成所述晶片的所述斜面边缘周围的等离子体可持续的条件。该方法进一步包括使用加热器保持卡盘温度,该卡盘温度被配置为促进斜面边缘上的膜沉积。该方法还包括从沉积气体产生局部的等离子体用于在斜面边缘上沉积膜。
在一种实施方式中,本发明涉及使用以保护一个晶片或多个晶片的斜面边缘的使用局部等离子体沉积膜的方法。该方法包括调节放置有晶片的可移动电极和固定电极之间的电极的间隙。调节电极的间隙到间隙距离,该间隙距离配置为防止在晶片的中心部分上面形成等离子体,而在晶片的斜面边缘周围可能仍然是等离子体可持续的条件或形成等离子体可持续的条件。该方法还包括调节到等离子体室的沉积气体的流量到压强,该压强被配置为防止在晶片的中心部分上面形成等离子体,而在晶片的斜面边缘周围可能仍然是等离子体可持续的条件或形成等离子体可持续的条件。该方法进一步包括使用加热器保持卡盘温度,该卡盘温度被配置为促进斜面边缘上的膜沉积。该方法还包括从沉积气体产生局部的等离子体以用于在斜面边缘上沉积膜。
图3A示出了在蚀刻之前应用到晶片300的图1A和图1B中建议的通孔蚀刻问题的解决方案。图中还示出了衬底边缘区域302。硬掩模306仍保持在晶片的中央区域,而保形保护膜308沉积在斜面边缘以保护斜面边缘。
图3B示出了在蚀刻之后应用到晶片300的图1A和图1B中建议的通孔蚀刻问题的解决方案。在这里,硬掩膜306依然存在。在斜面边缘区域312下方的保护衬底的保护膜308被轻微磨损掉。这解决了形成在斜面边缘上的黑硅的问题,限制了断裂或污染物捕集的概率。比较图3A和图3B将表明,蚀刻前衬底边缘的厚度318与蚀刻后衬底边缘的厚度320仍大致相同。
图4示出了图2A和2B中呈现的晶片键合问题的建议解决方案。图4显示了化学机械抛光(CMP)工艺之后的键合晶片400。下晶片402与上晶片414通过键合材料406键合。上晶片414通过CMP研磨。在这种情况下,大多数的半导体制造商想要保护键合材料,同时在键合的晶片的边缘上产生正斜率。图4显示了在斜面边缘区域420内沉积局部的保形膜418如何会在键合的晶片边缘产生预期的效果。
图5A示出了用于在晶片的斜面边缘上沉积保护膜的通用的装置。由于需要在具有挑战性的斜面边缘形貌上沉积精确的保形膜和需要避免在别处沉积,专门的设备是必要的。图5A的配置包括电容耦合室500。气体流量控制504供应气体或等离子体到晶片502的边缘区域。气体流量控制506供给气体或等离子体到晶片502的中心区域。通过液态气体输送或气体相输送可以提供气体流。两个气体流量控制504和506单独或一起可能改变晶片的中心部分与晶片的边缘部分相比的压强差。室的废气可通过排气泵508排出。
间隙510表示陶瓷盖512和晶片502之间的间隙。在本发明中,间隙510是受控的,使得该间隙不足以形成等离子体。例如,在某些情况下,不大于l毫米的间隙不能维持等离子体的形成。间隙本身可以根据各室的详情凭经验来确定。由气体流控制504和506供给的气体通过提供能量给加热器/卡盘524的RF源520转变成等离子体。上电极526放置于陶瓷盖512的上方。此外,图5a显示了放置于加热器/卡盘524上方的晶片502。
在该室的边缘是接地的上部可延展的电极536和接地的下部延展的电极538。内衬540有助于保护下部延展的电极538免受沉积。内衬540可由与沉积工艺兼容的合适的材料制成。RF电流可从加热器/卡盘524流动通过上部延展的电极536和下部延展的电极538,通过腔室的壁,并返回到RF源520。尺寸可控的陶瓷部分550邻近于加热器/卡盘524放置。
图5B示出了尺寸可控的陶瓷部分550的放大视图。陶瓷部分550的尺寸可以调节,以暴露更多或更少的晶片502的下边缘给等离子体用于沉积的目的。图5B示出了陶瓷部分550和陶瓷盖512。如果半导体制造商要沉积更多到晶片502的边缘的背部,陶瓷部分550的尺寸可被调节。例如,陶瓷部分550的较小的外径可以允许更多的沉积达到斜面边缘的底侧。陶瓷盖512的直径也可被调节,以确定在晶片的上侧斜面边缘膜沉积的宽度。例如,陶瓷盖512的较小的外径,可以允许在斜面的上部外边缘上更多的沉积。
使用智能机械臂、波纹管、带或其它的方法,陶瓷盖512和上电极526是可移动的(例如,向上和向下),以便允许间隙控制。这种机构由间隙控制电路控制。间隙控制单元用于控制晶片和该室的上电极之间的等离子体的形成。间隙控制单元还帮助晶片插入晶片到等离子体室和从等离子体室移除晶片。可通过机械致动器、或通过波纹管、或通过带式齿轮、或类似物实现控制该间隙的实际的机械运动。
图6示出了根据本发明的一种实施方式的用于在斜面边缘上产生保护膜的方法步骤。在第一步骤652,通过智能机械臂机构将硅晶片放入室内。在步骤654,调节上部陶瓷盖和晶片之间的间隙到等离子体抑制间隙距离,以确保不会在晶片的中心部分上面形成等离子体。在本发明的一种实施方式中,晶片的中心部分是晶片的具有蚀刻特征的部分,或者待具有蚀刻特征的部分。在本发明的另一种实施方式中,晶片的中心部分是晶片的基本上平坦的部分。
在步骤656,使液体或气相沉积气体流入等离子体室,在室的中心部分和室的边缘部分之间产生压强差。在本发明的一种实施方式中,压强差通过到等离子体室的中心和边缘部分的多个进气口来控制。在本发明的一种实施方式中,该压强差和特定的电极的间隙可在晶片的边缘区域附近维持等离子体,而不在晶片的中心部分和上电极之间的区域维持等离子体。精确的压强差和电极的间隙可以预先通过测试来确定,或者可以通过能够检测在晶片的中心部分是否形成等离子体的反馈控制系统来实时确定。使用的沉积气体可以是电介质膜、导体膜、有机膜、或在半导体工业中使用的任何其它膜的前体。
在步骤658,接通加热器和/或卡盘的RF功率。在此阶段,在晶片的斜面上应该已经形成沉积膜的等离子体。控制加热器的温度,例如,以使粘附应力最小。在步骤660,关闭RF功率和气体流量。在步骤662,扩大晶片上方的电极的间隙以方便晶片移除。在步骤664,晶片由智能机械臂机构移除。
图7示出了根据本发明的一种实施方式的加上用于原位清洁斜面边缘的电感天线的图3A的通用的实施方案。原位执行清洁的能力是改善产率的一个重要的优点。可以调节间隙710以优化用于清洁。可由RF源722激励线圈724来产生局部等离子体。在一种实施方式中,电极726是由铝制成的。在一种或多种实施方式中,接地上部和下部延展的电极736和738通常是由铝制成的。其它材料也可用于各种电极。
图8示出了根据本发明的一种实施方式的用于局部膜沉积之后原位感应式清洁的方法步骤。在基本的电容室结合原位感应清洁(其产生高密度的清洁等离子体)提供了许多优点。在第一步骤852,调节等离子体室中的晶片上方的电极的间隙以提供用于晶片移除的空间。在步骤854,再次调节电极的间隙达到控制将占据等离子体室的中心部分的清洁等离子体的量的间隙距离。在步骤856,使蚀刻剂清洁气体流入等离子体室。在步骤858,变压器-耦合等离子体(TCP)感应线圈通电接通持续一定的时间段,以允许形成清洁等离子体,并使用这些清洁等离子体以清洁等离子体室。在步骤860,允许排出蚀刻剂清洁气体
本发明的优点包括防止在蚀刻过程中斜面坍塌和在衬底边缘的黑硅的形成。附加的优点包括在晶片键合工艺之后密封键合材料。这种保形的边缘膜沉积工艺进一步允许用户在两个键合的晶片的边缘上产生正斜率。
尽管已经公开了示例性实施方式以及最佳方案,但在归属于由随附权利要求所限定的主题和主旨内对所公开的实施方式可以做出修改和变化。

Claims (19)

1.一种保护等离子体室内的晶片的斜面边缘的使用局部的等离子体沉积膜的方法,其包括:
调节可移动电极和固定电极之间的电极间隙,以达到被配置为防止在所述晶片的中心部分上面形成等离子体的间隙距离,所述晶片被设置在所述可移动电极和所述固定电极中的一个上,所述间隙距离还设置成使得在所述调节后形成所述晶片的所述斜面边缘的周围的等离子体可持续的条件;
使沉积气体流入所述等离子体室;
使用加热器保持卡盘温度,该卡盘温度被配置成促进所述斜面边缘上的膜沉积;以及
从所述沉积气体产生所述局部的等离子体以用于在所述斜面边缘上沉积膜。
2.根据权利要求1所述的方法,其中,根据反馈控制系统来调节所述间隙距离,所述反馈控制系统被配置成检测在所述晶片的所述中心部分上面是否形成等离子体。
3.根据权利要求1所述的方法,其中,所述中心部分与所述晶片上的蚀刻特征的区域基本相等。
4.根据权利要求1所述的方法,其中,所述中心部分与在所述晶片上的基本上是平坦的所述晶片的表面的区域基本相等。
5.根据权利要求1所述的方法,其中,所述等离子体室被配置为执行局部的蚀刻以及局部的沉积。
6.根据权利要求1所述的方法,其进一步包括在所述沉积完成后使用电感清洁机构清洁所述等离子体室。
7.根据权利要求1所述的方法,其进一步包括调节所述加热器的温度,以使粘合应力最小化。
8.根据权利要求1所述的方法,其中,通过机械致动器,或通过波纹管或通过带式齿轮完成所述电极间隙的所述调节。
9.根据权利要求1所述的方法,其中,所述膜选自下列膜中的一个:电介质膜、导体膜或有机膜。
10.一种保护晶片的斜面边缘的使用局部的等离子体沉积膜的方法,其包括:
调节进入等离子体室的沉积气体的流量达到配置成防止在所述晶片的中心部分上面形成等离子体的压强,所述压强还调节成使得在所述调节后形成所述晶片的所述斜面边缘的周围的等离子体可持续的条件;
使用加热器保持卡盘温度,该卡盘温度被配置成促进所述斜面边缘上的膜沉积;以及
从所述沉积气体产生所述局部的等离子体用于在所述斜面边缘上沉积膜。
11.根据权利要求10所述的方法,其中,所述等离子体室被配置为执行局部蚀刻以及局部沉积。
12.根据权利要求10所述的方法,其进一步包括使用电感清洁机构清洁所述等离子体室。
13.根据权利要求10所述的方法,其进一步包括调节所述加热器的温度以使粘合应力最小化。
14.根据权利要求10所述的方法,其中,所述膜选自下述膜中的一个:电介质膜、导体膜或有机膜。
15.一种在等离子室中保护晶片的斜面边缘的使用局部的等离子体沉积膜的方法,其包括:
调节可移动电极和固定电极之间的电极间隙到被配置为防止在所述晶片的中心部分上面形成等离子体的间隙距离,所述晶片被放置在所述可移动电极和所述固定电极中的一个上;
调节进入所述等离子体室的沉积气体的流量到被配置为防止在所述晶片的中心部分上面形成等离子体的压强,还调节所述间隙距离和所述压强以使得在所述调节所述电极间隙和所述调节所述流量之后形成所述晶片的所述斜面边缘周围的等离子体可持续的条件;
使用加热器保持卡盘温度,该卡盘温度被配置为促进所述斜面边缘上的膜沉积;以及
从所述沉积气体产生所述局部的等离子体用于在所述斜面边缘上沉积膜。
16.根据权利要求15所述的方法,其中,所述等离子体室被配置为执行局部的蚀刻以及局部的沉积。
17.根据权利要求15所述的方法,其进一步包括使用电感清洁机构清洁所述等离子体室。
18.根据权利要求15所述的方法,其进一步包括调节配置为使粘合应力最小化的所述加热器温度。
19.根据权利要求15所述的方法,其中,通过机械致动器、或通过波纹管或通过带式齿轮完成所述电极的间隙的所述调节。
CN201180049955.0A 2010-10-19 2011-10-19 用于沉积斜面保护膜的方法 Active CN103460347B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/907,149 US8501283B2 (en) 2010-10-19 2010-10-19 Methods for depositing bevel protective film
US12/907,149 2010-10-19
PCT/US2011/056849 WO2012054577A2 (en) 2010-10-19 2011-10-19 Methods for depositing bevel protective film

Publications (2)

Publication Number Publication Date
CN103460347A true CN103460347A (zh) 2013-12-18
CN103460347B CN103460347B (zh) 2016-10-26

Family

ID=45934522

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180049955.0A Active CN103460347B (zh) 2010-10-19 2011-10-19 用于沉积斜面保护膜的方法

Country Status (7)

Country Link
US (2) US8501283B2 (zh)
JP (1) JP5982383B2 (zh)
KR (1) KR101892310B1 (zh)
CN (1) CN103460347B (zh)
SG (1) SG189223A1 (zh)
TW (1) TWI555070B (zh)
WO (1) WO2012054577A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113308683A (zh) * 2020-02-10 2021-08-27 Spts科技有限公司 Pe-cvd设备及方法

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8603908B2 (en) * 2011-05-06 2013-12-10 Lam Research Corporation Mitigation of silicide formation on wafer bevel
FR3003395B1 (fr) * 2013-03-15 2015-05-29 Commissariat Energie Atomique Procede et realisation d'un substrat muni d'une protection de bord
KR102295029B1 (ko) 2015-03-31 2021-08-27 삼성전자주식회사 반도체 소자의 제조방법
US9741684B2 (en) 2015-08-17 2017-08-22 International Business Machines Corporation Wafer bonding edge protection using double patterning with edge exposure
JP6552404B2 (ja) * 2015-12-17 2019-07-31 東京エレクトロン株式会社 基板処理方法、基板処理システム、基板処理装置、及び基板処理プログラムを記憶したコンピュータ読み取り可能な記憶媒体
US10892404B1 (en) * 2019-07-09 2021-01-12 International Business Machines Corporation Sacrificial buffer layer for metal removal at a bevel edge of a substrate
CN113764328A (zh) * 2020-06-02 2021-12-07 拓荆科技股份有限公司 用于加工晶圆的装置及方法
TWI766595B (zh) * 2021-02-25 2022-06-01 聯華電子股份有限公司 鍵合兩半導體結構的方法
US11830824B2 (en) * 2021-03-26 2023-11-28 Applied Materials, Inc. Edge protection on semiconductor substrates

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060258177A1 (en) * 2005-05-12 2006-11-16 International Business Machines Corporation Method for treating a wafer edge
US20070028944A1 (en) * 2005-08-02 2007-02-08 Sawin Herbert H Method of using NF3 for removing surface deposits
US20070155133A1 (en) * 2005-12-30 2007-07-05 Ralf Richter Method of reducing contamination by providing an etch stop layer at the substrate edge
US20070289710A1 (en) * 2006-06-20 2007-12-20 Eric Hudson Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US20080179297A1 (en) * 2007-01-26 2008-07-31 Lam Research Corporation Bevel etcher with gap control
US20090188627A1 (en) * 2005-09-27 2009-07-30 Tong Fang Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US20100248463A1 (en) * 2009-03-31 2010-09-30 Tobias Letz Enhancing adhesion of interlayer dielectric materials of semiconductor devices by suppressing silicide formation at the substrate edge

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06283500A (ja) * 1993-03-30 1994-10-07 Hitachi Ltd 半導体製造装置および半導体装置
US6066570A (en) * 1998-12-10 2000-05-23 Siemens Aktiengesellschaft Method and apparatus for preventing formation of black silicon on edges of wafers
US6489249B1 (en) 2000-06-20 2002-12-03 Infineon Technologies Ag Elimination/reduction of black silicon in DT etch
WO2004100247A1 (ja) * 2003-05-12 2004-11-18 Sosul Co., Ltd. プラズマエッチングチャンバーと、これを用いたプラズマエッチングシステム
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US7575638B2 (en) * 2007-02-02 2009-08-18 Lam Research Corporation Apparatus for defining regions of process exclusion and process performance in a process chamber
WO2008007675A1 (fr) * 2006-07-11 2008-01-17 Tokyo Electron Limited procédé de formation de film, procédé de nettoyage, et dispositif de formation de film
US20080277064A1 (en) * 2006-12-08 2008-11-13 Tes Co., Ltd. Plasma processing apparatus
KR20080053167A (ko) * 2006-12-08 2008-06-12 주식회사 테스 플라즈마 처리 장치
US20080156772A1 (en) * 2006-12-29 2008-07-03 Yunsang Kim Method and apparatus for wafer edge processing
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US8268116B2 (en) * 2007-06-14 2012-09-18 Lam Research Corporation Methods of and apparatus for protecting a region of process exclusion adjacent to a region of process performance in a process chamber
US8137501B2 (en) 2007-02-08 2012-03-20 Lam Research Corporation Bevel clean device
US8197636B2 (en) * 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
KR101459762B1 (ko) * 2007-12-27 2014-11-07 메이플 비젼 테크놀로지스 인크. 광정보 기록방법, 광정보 재생방법
JP2011517087A (ja) * 2008-04-07 2011-05-26 チャーム エンジニアリング シーオー エルティーディー プラズマ処理装置及びプラズマ処理方法
US8616390B2 (en) * 2008-11-12 2013-12-31 Theodosios Kountotsis Triple chamber bottle and method of manufacturing the same
US8562750B2 (en) * 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060258177A1 (en) * 2005-05-12 2006-11-16 International Business Machines Corporation Method for treating a wafer edge
US20070028944A1 (en) * 2005-08-02 2007-02-08 Sawin Herbert H Method of using NF3 for removing surface deposits
US20090188627A1 (en) * 2005-09-27 2009-07-30 Tong Fang Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
US20070155133A1 (en) * 2005-12-30 2007-07-05 Ralf Richter Method of reducing contamination by providing an etch stop layer at the substrate edge
US20070289710A1 (en) * 2006-06-20 2007-12-20 Eric Hudson Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US20080179297A1 (en) * 2007-01-26 2008-07-31 Lam Research Corporation Bevel etcher with gap control
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US20100248463A1 (en) * 2009-03-31 2010-09-30 Tobias Letz Enhancing adhesion of interlayer dielectric materials of semiconductor devices by suppressing silicide formation at the substrate edge

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113308683A (zh) * 2020-02-10 2021-08-27 Spts科技有限公司 Pe-cvd设备及方法
US11802341B2 (en) 2020-02-10 2023-10-31 Spts Technologies Limited PE-CVD apparatus and method
CN113308683B (zh) * 2020-02-10 2024-04-12 Spts科技有限公司 Pe-cvd设备及方法

Also Published As

Publication number Publication date
WO2012054577A3 (en) 2013-10-24
JP2014503986A (ja) 2014-02-13
TWI555070B (zh) 2016-10-21
US20120094502A1 (en) 2012-04-19
TW201234449A (en) 2012-08-16
WO2012054577A2 (en) 2012-04-26
KR101892310B1 (ko) 2018-08-27
KR20130136992A (ko) 2013-12-13
US8501283B2 (en) 2013-08-06
CN103460347B (zh) 2016-10-26
US20130312913A1 (en) 2013-11-28
SG189223A1 (en) 2013-05-31
JP5982383B2 (ja) 2016-08-31

Similar Documents

Publication Publication Date Title
CN103460347A (zh) 用于沉积斜面保护膜的方法
CN101426949B (zh) 用于等离子蚀刻室的集成的电容性和电感性电源
KR101854925B1 (ko) 베벨 에지를 프로세싱하기 위한 방법 및 장치
JP4180913B2 (ja) プラズマ処理の均一性のためのステップのある上部電極
JP2007012734A (ja) プラズマエッチング装置及びプラズマエッチング方法
US20040072426A1 (en) Process chamber for manufacturing a smiconductor device
CN113039626B (zh) 边缘环的温度及偏压控制
JP3102460U (ja) 静電チャック用ガード
TWI614791B (zh) 電漿處理裝置
JP2011507274A (ja) プラズマエッチングシステム用の炭化珪素焦点リング
CN101144971B (zh) 相移光掩模及其制造方法
CN115443530A (zh) 具有高性能涂层的半导体腔室部件
CN105789008B (zh) 等离子体处理装置及等离子体刻蚀方法
US20220139677A1 (en) Macroscopic texturing for anodized and coated surfaces
CN101620985B (zh) 晶边蚀刻设备及其相关的晶片平坦化方法
CN117529575A (zh) 用于高温清洁的处理
KR100962210B1 (ko) 정전척
KR101225544B1 (ko) 하이브리드 플라즈마 소스와 정전척 히터를 이용한 멀티 스택 레이어 마스크 구조의 산화막 식각방법
JPH05206073A (ja) 層の構造化方法
CN110473861A (zh) 一种环柱电容结构及制作方法
CN112652511B (zh) 一种等离子体刻蚀装置及其中的边缘环
TWI780529B (zh) 腔室沉積及蝕刻處理
CN101211751A (zh) 干法刻蚀方法
CN117795658A (zh) 具有多层涂层的半导体腔室部件
JP2018014491A (ja) 粒子性能および金属性能の改善のためのescセラミック側壁の加工

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant